Помощь в написании студенческих работ
Антистрессовый сервис

Разработка и исследование эволюционных методов размещения компонентов СБИС

ДиссертацияПомощь в написанииУзнать стоимостьмоей работы

Быстрый прогресс в технологии сверхбольших интегральных схем обуславливает потребность в новых средствах автоматизированного проектирования. Разработчикам СБИС необходимы интеллектуальные программные системы, позволяющие реализовывать схемы с миллионами транзисторов на одном кристалле. Такие высокие характеристики достигаются за счет совместной оптимизации топологии проектов и мега библиотек. Это… Читать ещё >

Разработка и исследование эволюционных методов размещения компонентов СБИС (реферат, курсовая, диплом, контрольная)

Содержание

  • 1. ГЛАВА 1. АНАЛИЗ И СОСТОЯНИЕ ПРОБЛЕМЫ РАЗМЕЩЕНИЯ КОМПОНЕНТОВ СБИС
  • I. Л. Проблемы конструкторского проектирования СБИС
    • 1. 2. Краткий обзор и анализ алгоритмов размещения
    • 1. 3. Постановка задачи размещения
    • 1. 4. Краткие
  • выводы
  • 2. ГЛАВА 2. ПОСТРОЕНИЕ МОДЕЛЕЙ СБИС
    • 2. 1. Разработка графовых моделей
    • 2. 2. Построение моделей, схем ж монтажно-коммутационного пространства в виде специальных графов
    • 2. 3. Использование методов эволюционного моделирования при размещении
    • 2. 4. Краткие
  • выводы
  • 3. ГЛАВА 3. ПОСТРОЕНИЕ НОВЫХ И МОДИФИЦИРОВАННЫХ АРХИТЕКТУР ПОИСКА РЕШЕНИЙ В ЗАДАЧАХ РАЗМЕЩЕНИЯ
    • 3. 1. Модели энергопотребления асинхронных функциональных блоков
  • КМОП СБИС
    • 3. 2. Описание биоинспирированных методов задач размещения
    • 3. 3. Разработка эволюционного метода размещения разногабаритных блоков СБИС.-.
    • 3. 4. Разработка алгоритма решения задач и размещения на основе модифицированной агрегации фракталов
    • 3. 5. Разработка комплексного гибридного генетического алгоритма размещения элементов. Ю
    • 3. 6. Алгоритм «слепого» поиска
    • 3. 7. Разработка проблемно-ориентированного генетического алгоритма.¦.Ю
    • 3. 8. Разработка многопопуляционного параллельного генетического алгоритма. НО
    • 3. 9. Краткие
  • выводы
  • 4. ГЛАВА 4. РЕЗУЛЬТАТЫ ВЫЧИСЛИТЕЛЬНОГО ЭКСПЕРИМЕНТА
    • 4. 1. Краткое описание программного комплекса
    • 4. 2. Краткие результаты вычислительного эксперимента
    • 4. 3. Краткие
  • выводы

Развитие современной науки и техники тесно связано с развитием информационно-коммуникационных технологий (ИКТ), чья роль во всех областях проектирования и производства неуклонно растет. Проектирование все более сложных, требующих больших временных и трудовых ресурсов, новых объектов ЭВА и РЭА, невозможно без интегрированного компьютерного сопровождения. В современных ЭВА и РЭА, элементной базой являются системы на кристалле, сверхбольшие и сверхскоростные интегральные схемы (СБИС и ССБИС).

Быстрый прогресс в технологии сверхбольших интегральных схем обуславливает потребность в новых средствах автоматизированного проектирования. Разработчикам СБИС необходимы интеллектуальные программные системы, позволяющие реализовывать схемы с миллионами транзисторов на одном кристалле. Такие высокие характеристики достигаются за счет совместной оптимизации топологии проектов и мега библиотек. Это позволяет перевести на новый уровень такие ключевые характеристики объектов проектирования, как мощность, быстродействие, занимаемая площадь. Количественный рост сложности объекта проектирования привел к качественным изменениям в методологии проектирования, к повышению роли всех обеспечений САПР. Это позволяет в области синтеза топологии СБИС выйти на следующий уровень проектирования систем на кристалле в нанометровом диапазоне (2009;32нм, 2011;22нм и прогноз на 2013; 15нм и 2015;11нм) [1]. Причем при создании СБИС возможен переход от 8, 9 слоев металлизации к одному слою. Процесс проектирования современных СБИС состоит из трех основных относительно независимых частей: логическое проектирование, тестирование и верификация, синтез топологии. Системная интеграция данных этапов позволяет реализовывать платформы на «чипах» и переходить к созданию атомных процессоров. Межсоединения на кристаллах все более сложными поэтому возрастает проблема синтеза топологий. В этой связи разработка новых интегрированных алгоритмов проектирования топологии является актуальной и важной для современных поколений радиоэлектронной и электронно-вычислительной аппаратуры. В работе рассмотрена одна из важных задач конструкторского проектирования СБИС — задача размещения. Она относится к классу МРсложных[2]. В этой связи разработка комплекса эффективных полиномиальных алгоритмов с использованием современных критериев, является актуальной и важной задачей.

Цель диссертационной работы состоит в разработке и исследовании интегрированных биоинспирированных алгоритмов размещении компонентов СБИС.

Достижение указанной цели предполагает решение следующих основных задач: построение графовых и гиперграфовых моделей коммутационных схем топологий и размещаемого пространстваразработка эвристических поисковых методов размещения моделей коммутационных схем в заданной области ЧИПапостроение архитектур бионического поиска, ориентированных на задачи размещения графовых моделей компонентов СБИС;

Для решения поставленных задач в диссертационной работе используются следующие методы: теория графов, множеств, алгоритмов и методология эволюционного моделирования.

Научная новизна работы заключается в решении задачи размещения компонентов СБИС, имеющей существенное значение в для синтеза топологии систем на кристалле (СнК).

1. Приведены новые основные принципы и приведена классификация математических моделей схем и критериев задачи размещения. Выбран комплексный критерий на основе оценки электромагнитотепловой совместимости элементов.

2. Предложенна модель оценки энергопотребления и задержки асинхронными элементами для оптимизации и сравнения КМОП-элементов асинхронной логики.

3. Построена новая архитектура композитного поиска при размещении на основе алгоритмов, инспирированных природными системами, позволяющая получать наборы локально-оптимальных решений.

4. Разработан генетический алгоритм, отличающийся от существующих способом кодирования и декодирования хромосом, набором адаптированных к особенностям задачи операторов. Построены генетические операторы, позволяющие эффективно преодолевать барьеры локальных оптимумов пространства решений задачи размещения разногабаритных блоков.

5. Построен композитный алгоритм размещения на основе новых механизмов свертки, начального размещения и распаковки. Разработана модифицированная архитектура многопопуляционного параллельного алгоритма размещения IPблоков на кристалле. Это позволяет частично решать проблему предварительной сходимости алгоритмов.

Практическая ценность результатов диссертационной работы определяется созданием программного комплекса алгоритмов размещения компонентов СБИС, позволяющих использовать разработанные математические модели, методы и эвристики, отвечающие конкретным задачам синтеза топологий. Разработана специальная программная среда для моделирования при решении задач размещения. Программы реализованы на языке С++ под WINDOWS. Проведенные серии тестов и экспериментов позволили уточнить теоретические оценки временной сложности алгоритмов размещения и их поведение для тестов и схем различной структуры. Проведенные комплексные исследования показали улучшение работы предложенных архитектур бионического поиска по сравнению с известными методами.

Реализация результатов работы. Материалы диссертации использованы в госбюджетных научно исследовательских работах Технологического института Южного федерального университета в г. Таганроге (ТТИ ЮФУ), а также в научно-исследовательских работах, выполненных по грантам Российского фонда фундаментальных исследований (НИР № 12 353, 12 388, 12 380). Результаты этих работ внедрены и используются в учебном процессе на кафедрах КЭС и САПР в ТТИ ЮФУ. Акты о внедрении и использовании результатов работы приведены в приложении к диссертации.

Апробация работы. Основные научные и практические результаты работы докладывались, обсуждались и были одобрены на Международных научно-технических конференциях «Интеллектуальные САПР» (г. Геленджик, 2008 г.- 2011 г.), десятой всероссийской научной конференции студентов и аспирантов «Техническая кибернетика, радиоэлектроника и системы управления» (г. Таганрог, 20Юг), Молодежной научно-технической конференции «Интеллектуальные системы-2010» (п. Дивноморское, 20Юг). По материалам диссертационной работы опубликовано 8 печатных работ, материалы вошли в два отчета по НИР.

Структура и объем диссертационной работы. Диссертация состоит из введения, четырех разделов, заключения, изложенных на 163 страницах, 72 рисунков, 10 таблиц, списка литературы из 126 наименований и приложения.

ЗАКЛЮЧЕНИЕ

.

1. Приведены новые основные принципы и Описан общий маршрут проектирования СнК до выполнения этапа логического синтеза.

2. Проведен краткий обзор и анализ алгоритмов размещения. Отмечено, что перспективными являются алгоритмы, инспирированные природными системами. Дана постановка задачи размещения.

3. Приведена классификация математических моделей схем и критериев задачи размещения. Выбран комплексный критерий на основе оценки электромагнитотепловой совместимости элементов.

4. Предложенна модель оценки энергопотребления и задержки асинхронными элементами. Модель может быть использована в САПР СБИС для оптимизации и сравнения КМОП-элементов асинхронной логики.

5. Построена новая архитектура композитного поиска при размещении на основе алгоритмов, инспирированных природными системами, позволяющая получать наборы локально-оптимальных решений.

6. Разработан ГА, отличающийся от существующих способом кодирования и декодирования хромосом, набором адаптированных к особенностям задачи операторов. Предложенный способ кодирования и декодирования позволяет сократить время построения компактной топологии. Созданы генетические операторы, позволяющие эффективно преодолевать барьеры локальных оптимумов пространства решений задачи размещения разногабаритных блоков.

7. Построен композитный алгоритм размещения на основе новых механизмов свертки, начального размещения и распаковки. Это позволяет обрабатывать большие массивы (п>106, где пчисло размещаемых элементов) входной информации и получать локально-оптимальные результаты за полиномиальное время.

8. Разработана модифицированная архитектура многопопуляционного параллельного алгоритма размещения 1Рблоков на кристалле. Структура архитектуры определяется моделью островов и оператором миграции. Это.

124 позволяет частично решать проблему предварительной сходимости алгоритмов.

9. Разработан механизм обмена данными в структуре параллельного алгоритма, позволяющий добавлять и исключать популяции. Выработана структура миграции отдельных хромосом, частей популяции и всей популяции, направленная на получение оптимальных решений.

10. Применение комбинированных моделей эволюций, различных методов поиска и модифицированных генетических операторов позволяет повысить качество и уменьшить время размещения коммутационных схем ориентировочно на 10% - 15%.

11. Проведенные серии тестов и экспериментов позволили уточнить теоретические оценки временной сложности алгоритмов размещения и их поведение для тестов и схем различной структуры. Проведенные комплексные исследования показали улучшение работы предложенных архитектур бионического поиска по сравнению с известными методами. Улучшение составило по качеству до 25%, а по времени до 10% в зависимости от начальных условий и структуры коммутационных схем.

Показать весь текст

Список литературы

  1. Проблемы разработки перспективных микро- и наноэлектронных систем. Сборник трудов/ под ред. Академика РАН АЛ.Стемпковского.-М.: ИППМ РАН, 2010. -694
  2. Г. Г. Основы проектирования интегральных схем и систем. -М: Бином. Лаборатория знаний, 2005.
  3. В., Мартин Г. «Системы-на кристалле. Проектирование и развитие». -М. ¡-Техносфера, 2004.
  4. И.П., Маничев В. Б. САПР ЭВА. М.: Высшая школа, 1983.
  5. В.Н. Теоретические основы построения базовых адаптируемых компонентов САПР МЭА. М.: Наука, 1989.
  6. Ю.Х. Основы автоматизированного проектирования. М.: Радио и связь, 1988.
  7. Автоматизация проектирования БИС. В 6 кн. Под ред. Г. Г. Казеннова. М.: Высшая школа, 1990.
  8. В.Б. От многоагентных систем к интеллектуальным организациям: философия, психология, информатика. -М.: Эдиториал УРСС, 2002.
  9. В .В., Курейчик В. М., Гладков Л. А., Сороколетов П. В. Бионспирированные методы в оптимизации,— М.: Физмалит, 2009.
  10. И.П., Кузьмик П. К. Информационная поддержка наукоемких изделий. САГ8-технологии. М.: Изд-во МГТУ им. Н. Э. Баумана, 2002.
  11. П.Колчин А. Ф. и др. Управление жизненным циклом продукции. М.: Анархасис, 2002.
  12. И.П. Основы автоматизированного проектирования. М.: Изд-во МГТУ им. Н. Э. Баумана, 2006.
  13. Проблемы разработки перспективных микро- и наноэлектронных систем. Сборник трудов/ под ред. Академика РАН А. Л. Стемпковского.-М.: ИППМ РАН, 2008.-550
  14. А.Н., Берштейн JI.C. Гиперграфы в автоматизации проектирования дискретных устройств. Ростов-на-Дону: издательство Ростовского университета, 1981. 112 с.
  15. А.И., Лошаков В. Н., Тетельбаум А. Я., Шрамченко Б. Л. Автоматизированное проектирование СБИС на базовых кристаллах. М.: Радио и связь, 1988. 160 е., ил.
  16. Проблемы разработки перспективных микро- и наноэлектронных систем. Сборник трудов/ под ред. Академика РАН А. Л. Стемпковского.-М.:1. ИППМРАН, 2006.-452
  17. Емельянов В. В, Курейчик В. М., Курейчик В. В. Теория и практика эволюционного моделирования. М.: Физматлит, 2003.
  18. Kureichik V.V., Kureichik V.M., Genetic Algorithms. HG Yerlag, Konstans, 2004
  19. .К. Методы поисковой адаптации в задачах автоматизированного проектирования СБИС. Таганрог, Изд-во ТРТУ, 2000.
  20. Проблемы разработки перспективных микро- и наноэлектронных систем. Сборник трудов/ под ред. Академика РАН А. Л. Стемпковского.-М.: ИППМРАН, 2005.-537
  21. М., Зимерс Э. САПР и автоматизация производства. М.: Мир, 1987.
  22. Н. Теория графов. Алгоритмический подход. М.: Мир, 1978. 432 с.
  23. Оре О. Теория графов. М.: Наука, 1980. 356 с.
  24. Т., Лейзерсон И., Ривест Р. Алгоритмы: построения и анализ. М.: МЦМОДООО.
  25. Ф.А. Дискретная математика для программистов. СПб.: Питер, 2000.
  26. .Н. Дискретная математика. М.: Лаборатория базовых знаний, 2001.
  27. Л.А., Курейчик В. В., Курейчик В. М. Дискретная математика: Теория графов. Учебное пособие. -Таганрог. Изд-во ТТИ ЮФУ, 2010
  28. Д.И. Генетические алгоритмы решения экстремальных задач: Учебное пособие. Воронеж, 1995. 69 с.
  29. Goldberg D.E. Genetic Algorithms in Search, Optimization, and Machine Learning. Addison-Wesley Publishing Company Inc., Massachusetts, 1989. 412 p.
  30. Michalewicz Z. Genetic Algorithms + Data Structures = Evolution Programs. Springer-Verlag, 1992
  31. В.М. Генетические алгоритмы и их применение. Таганрог Изд-во ТРТУ, 2002.
  32. Л.А., Курейчик В. В., Курейчик В. М. Генетические алгоритмы. Учебное пособие. -М.: Физматлит, 2006.
  33. И.Л. Эволюционное моделирование: идеи, основы теории, приложения. Москва, Знание, выпуск 10, 19 813 8. Редько В .Г. Эволюционная кибернетика. М.: Наука, 2001.
  34. Practical Handbook of Genetic Algorithms. Editor I. Chambers. T. l, Washington, USA, CRC Press, 1995.
  35. Practical Handbook of Genetic Algorithms. Editor I. Chambers. T.2, Washington, USA, CRC Press, 1995.
  36. Practical Handbook of Genetic Algorithms. Editor I. Chambers. T.3, Washington, USA, CRC Press, 1999.
  37. Holland, John II., Adaptation in Natural and Artificial Systems: An Introductory Analysis with Application to Biology, Control, and Artificial Intelligence. University of Michigan, 1975.
  38. В.В. Эволюционные, синергетические и гомеостатические методы принятия решений. Монография. Таганрог: Изд-во ТРТУ, 2001.
  39. KureichikV.M. Algorithms for Applied CAD Problems Text./ V.M. Kureichik, S.P. Malioukov, V.V. Kureichik, A.S. Malioukov. Berlin Heidelberg: Springer-Verlag, 2009. — 487 p.
  40. Л.А., Курейчик B.B., Курейчик B.M. Генетические алгоритмы. Учебник. -М.: Физматлит, 2010.
  41. Д.И., Львович Я. Е., Фролов В. Н. Оптимизация в САПР.
  42. Воронеж: Изд-во ВГУ, 1997.
  43. А.В. Метод проектирования быстродействующих асинхронных цифровых устройств с малым энергопотреблением // Известия вузов. Электроника. № 1, 2009. с. 48−53.
  44. Мелик-Адамян А. Ф. Многокритериальная оптимизация КМОП-схем в субмикронных технологиях //Известия ЮФУ.-2009, № 6 С. 137−149
  45. Lin М., Wawrzynek J. «Improving FPGA Placement with Dynamically Adaptive Stochastic Tunneling» IEEE transactions on computer-aided of integrated circuits and systems, p.1858−1869,VOL.29, NO. 12, December 2010.
  46. Su Y-S., Yang C-C., Chang S-C., Chang Y-J. «Clock Skew Minimization in Multi-Voltage Mode Designs Using Adjustable Delay Buffers» IEEE transactions on computer-aided of integrated circuits and systems, p. 1921−1930, VOL.29, NO. 12, December 2010.
  47. А.А. Решение задачи размещения элементов СБИС с учетом временных задержек. // Известия ТРТУ. Тематический выпуск «Интеллектуальные САПР». Таганрог: Изд-во ТРТУ, 2006. № 8(63). с. 146 151.
  48. Chen С.Р., Chen Y.P., Wong D.F. Optimal Wiresizing Under Elmore Delay Model // IEEE Trans. On CAD of Integrated Systems.- 2002. -V21-№ 3, pp.319−329
  49. Ю.А., Коробейников А. Г. Методы представления математических моделей в САПР при концептуальном и инфологическоммоделировании. IEEE AIS-03, CAD-2003. Интеллектуальные системы, интеллектуальные САПР т.2,-М.: Физматлит, 2003, с 35−41.
  50. В.М., Никифоров A.M. Параллельные генетические алгоритмы размещения блоков ЭВА: Монография. Таганрог: Изд-во ТТИ ЮФУ, 2011
  51. A.M. Применение графов и гиперграфов для автоматизации конструкторского проектирования РЭА и ЭВА.- Саратов: Изд-во СГУ, 1993.
  52. А.А. Основы теории графов. М.: Вузовская книга, 2004.
  53. Берштейн J1.C., Боженюк А. В. Нечеткие графы и гиперграфы. -М.: Научный мир, 2005.
  54. JI.A., Курейчик В. В., Курейчик В. М. Дискретная математика: Теория множеств, алгоритмов, алгебры логики.-Таганрог: Изд-во ТТИ ЮФУ, 2009
  55. Ф. Теория графов.- М.: Мир, 1977.
  56. Н.И. Графы и их применение. Комбинаторные алгоритмы для программистов.- М.: Бином, 2007.
  57. Емеличев В.А.и др. Лекции по теории графов.-М.: УРСС, 2009.
  58. С.А. Об одном подходе к размещению узлов и блоков РЭА и ЭВА. Перспективные информационные технологии и интеллектуальные системы, — Таганрог, № 2 (35−36)/ 2009, С. 1 — 20.
  59. D. " An Introduction to Genetic Algorithms for Scientists and Engineers «World Scientific Publishing Co., 227 p., London, 2005.
  60. Bonabeau E., Dorigo M., Theraulaz G. SWARM INTELLIGENCE From Natural to Artificial Systems Oxford University Press, London, 2006.
  61. Abraham A., Grosan C, Ramos V. Swarm Intelligence of Data Mining, Springer, Berlin, 2006.
  62. С.А.Бушин, B.B. Курейчик Размещение узлов и блоков РЭА и ЭВА на основе бионических методов Программные продукты и системы, 2010. № 1(89). С. 12−15.
  63. С.А., Курейчик В. В. Генетический алгоритм размещения разногабаритных элементов. Известия ЮФУ. Технические науки, 2009, № 12(83). С. 22−27.
  64. В. М. Курейчик В.В. Генетический алгоритм размещения графа// Известия АН. Теория и системы управления, № 5, 2000, с.67−74.
  65. Kureichik V. M, Kureichik V.Y. Genetic Algorithm for Graph Placement Journal of Computer and Systems Sciences International, vol.39, № 5, 2000, pp.733−740.
  66. Kling R.M. and Banerjee P. Empirical and Theoretical Studies of the Simulated Evolution Method applied to standard Cell Placement. IEEE Trans, on CAD, Vol.10, No.10, 1991. pp. 1303−1315.
  67. Paris W. GENIF: A new placement Algorithms. Thesis (ms) University of Virginia, USA, 1989.
  68. Н.В., Курейчик В. М. Квантовые и генетические алгоритмы размещения компонентов ЭВА . Монография Таганрог: Изд-во ТТИЮФУ, 2010.
  69. C.J., Mehta D.P., Sapatnekar S.S. «Handbook of Agorithms for Physical Design Automation «CRC Press, New York, 2009.
  70. Sutherland I. E. Micropipelines // Commun. ACM, vol. 32, June 1989.? pp. 720−738.
  71. Shams M., Ebergen J. C., Elmasry M. I. Optimizing CMOS implementations of C-element // Proc. Int. Conf. Comput. Design (ICCD), Oct.1997.? pp. 700−705.
  72. Furber S. B. and Day P. Four-phase micropipeline latch control circuits // IEEE Trans VLSI Syst., vol. 4, June 1996.? pp. 247−253.
  73. Peeters A. M. G. Single-Rail Handshake Circuits, Ph.D. dissertation. Eindhoven Univ. Technol., The Netherlands, June 1996.
  74. Shams M., Ebergen J. C., and Elmasry M. I. Modeling and comparing CMOS implementations of the C-element // Dep. Comput. Sci., Univ. Waterloo, Waterloo, Ont., Canada, Tech. Rep. CS-98−12, May 1998.
  75. A.B. Метод проектирования быстродействующих асинхронных цифровых устройств с малым энергопотреблением // Известия вузов. Электроника. № 1, 2009. с. 48−53.
  76. С.А., Ковалев А. В. Модели энергопотребления асинхронных функциональных блоков КМОП СБИС Известия ЮФУ. Технические науки, 2009, № 12(83). С. 198−200.
  77. С.А. Метод снижения энергопотребления в асинхронных блоках СБИС. Материалы X ВНТК студентов и аспирантов Техническая кибернетика, радиоэлектроника и управление. Т.2. Таганрог: Изд-во ТТИ1. ЮФУ, 2010. С. 37−38.
  78. Л.Б., Курейчик В. В., Сороколетов П. В. Перспективная технология интегрированного поиска в САПР. Известия ЮФУ. Технические науки. Таганрог: Изд-во ТТИ ЮФУ, 2007, № 2(77). С. 18 — 25.133
  79. Guo P.N., Cheng С.К., Yoshimura Т. An O-Tree Representation of Non-Slicing Floorplan, DAC 36, 1999.
  80. C.A., Ковалев A.B. Эволюционный метод размещения разногабаритных блоков СБИС Известия ЮФУ. Технические науки, 2010,17(83). С. 45−53.
  81. G. Karypis. Multilevel hypergraph partitioning. In J. Cong and J. Shinnerl, editors, Multilevel Optimization Methods for VLSI, chapter 6. Kluwer Academic Publishers, Boston, MA, 2002.
  82. G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar. Multilevel hypergraph partitioning: Application in vlsi domain. IEEE Transactions on VLSI Systems, 20(1), 1999. A short ersion appears in the proceedings of DAC1997.
  83. G. Karypis, R. Aggarwal, V. Kumar, and S. Shekhar. Multilevel hypergraph partitioning: Application in VLSI domain. Design Automation
  84. Conference, pages 526−529, 1997
  85. А.Н., Лебедев Б. К. «Гибридный генетический алгоритм с элементами антимонопольного развития», Известия ТРТУ. Тематический выпуск «Интеллектуальные САПР». Таганрог: Изд-во ТРТУ, 2007. № 1. С. 8691
  86. И.В. Разработка среды эволюционного моделирования с динамическими параметрами ВУКЮЕК // Известия ТРТУ, -Таганрог, ТРТУ. -2002. № 3, с. 273.
  87. В.В.Курейчик, ПВ. Сороколетов, И. В. Хабарова. Инструментальная среда эволюционного моделирования. Программные продукты и системы. 2006. № 4. С. 1−2.
  88. Ю1.Курейчик В. В., Сороколетов П. В., Хабарова И. В. Эволюционные модели с динамическими параметрами. Монография. Таганрог: Изд-во ТРТУ, 2006, — 116 с.
  89. Л.А. Гладков, Курейчик В. М. Курейчик В.В. Анализ и исследование эволюционных методов решения задач разбиения СБИС. Монография. Таганрог: Изд-во ТТИ ЮФУ, 2010.
  90. В.В., Лебедев Б. К. и др.Концепция поиска оптимальных решений при проектировании. Монография. Таганрог: Изд-во ТТИ ЮФУ, 2010.104. http://www.math.nsc.ru/AP/benchmarks
Заполнить форму текущей работой