Помощь в написании студенческих работ
Антистрессовый сервис

15 разрядный двоично-десятичный счетчик с преобразованием в последовательный код

Курсовая Купить готовую Узнать стоимостьмоей работы

В паузах между входными импульсами триггеры сохраняют свои состояния, т. е. счетчик запоминает число входных импульсов. Нулевое состояние всех триггеров принимается за нулевое состояние счетчика в целом. Остальные состояния нумеруются по числу поступивших входных импульсов, Когда число входных импульсов Nвх>Ксч происходит переполнение, после чего счетчик возвращается в нулевое состояние и цикл… Читать ещё >

15 разрядный двоично-десятичный счетчик с преобразованием в последовательный код (реферат, курсовая, диплом, контрольная)

Содержание

  • АННОТАЦИЯ
  • СПИСОК УСЛОВНЫХ ОБОЗНАЧЕНИЙ, СОКРАЩЕНИЙ И ТЕРМИНОВ
  • ВВЕДЕНИЕ
  • 1. ИССЛЕДОВАТЕЛЬСКАЯ ЧАСТ
    • 1. 1. Обзор 15 разрядный двоично-десятичный счетчик с преобразованием в последовательный код
    • 1. 2. Обзор существующих аналогов
    • 1. 3. Технические параметры аналогов
    • 1. 4. Описание функционирования аналогов
  • Выводы
  • 2. СТРУКТУРНАЯ СХЕМА 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА
    • 2. 1. Выбор структурной схемы
    • 2. 2. Разработка технологи
  • Разработка макета 15 разрядного двоично-десятичного счетчика с преобразованием в последовательный код
  • Заказчик: Кафедра ИУ4 (Проектирование и технология производства электронной аппаратуры) МГТУ им. Баумана
  • Исполнитель: Магистрант Маркос Лорето, кафедры ИУ4 (Проектирование и технология производства электронной аппаратуры) МГТУ им. Баумана
  • ческого процесса проектирования 15 разрядного двоично-десятичного счетчика
    • 2. 3. Разработка структурной схемы 15 разрядного двоично-десятичного счетчика
    • 2. 4. Разработка алгоритма работы 15 разрядного двоично-десятичного счетчика
  • Выводы
  • 3. ФУНКЦИОНАЛЬНАЯ СХЕМА 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА
    • 3. 1. Разработка функциональной схемы 15 разрядного двоично-десятичного счетчика
    • 3. 2. Разработка программных алгоритмов аппаратной части 15 разрядный двоично-десятичный счетчик
  • Выводы
  • 4. ЭЛЕКТРИЧЕСКАЯ ПРИНЦИПИАЛЬНАЯ СХЕМА 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА
    • 4. 1. Разработка электрической принципиальной схемы
    • 4. 2. Перечень элементов
    • 4. 3. Описание функционирования счетчика
  • Выводы
  • 5. РАЗРАБОТКА ПРОГРАММНОГО ОБЕСПЕЧПЕНИЯ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА
    • 5. 1. Разработка драйвера устройства под ОС Windows
    • 5. 2. Разработка тестирующего ПО
  • Выводы
  • 6. РАСЧЕТ МОЩНОСТИ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА
    • 6. 1. Описание методики расчета потребляемой мощности
    • 6. 2. Расчет мощности 15 разрядный двоично-десятичного счетчика
  • Выводы
  • 7. РАСЧЕТ ВРЕМЕННЫХ ПАРАМЕТРОВ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА
    • 7. 1. Описание методики расчета временных параметров 15 разрядного двоично-десятичного счетчика
  • Выводы
  • 8. РАСЧЕТ НАДЕЖНОСТИ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА
    • 8. 1. Описание методики расчета надежности счетчика
    • 8. 2. Расчет надежности счетчика
  • Выводы
  • 9. РАЗРАБОТКА РАБОЧЕГО МАКЕТА
    • 9. 1. Монтаж узлов счетчика
    • 9. 2. Отработка программного обеспечения счетчика
  • Выводы
  • 10. ТЕСТИРОВАНИЕ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА
    • 10. 1. Методика тестирования
    • 10. 2. Результаты тестирования
  • Выводы
  • 11. РАЗРАБОТКА ЭКСПЛУАТАЦИОННОЙ ДОКУМЕНТАЦИИ
  • ЗАКЛЮЧЕНИЕ О ВЫПОЛНЕНИИ КУРСОВОГО ПРОЕКТА
  • СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ
  • ПРИЛОЖЕНИЕ А. ГРАФИЧЕСКАЯ ЧАСТ
  • ПРИЛОЖЕНИЕ Б. ТЕКСТОВАЯ ЧАСТЬ КП НА CD-ROM
  • ПРИЛОЖЕНЕИ В. ПРОГРАМНОЕ ОБЕСПЕЧЕНИЕ НА СD-ROM
  • ПРИЛОЖЕНЕИ Г. ПРЕДСТАВЛЕНИЕ РЕЗУЛЬТАТОВ КП ДЛЯ ЗАЩИТЫ В ФОРМЕ ПРЕЗЕНТАЦИИ НА CD-ROM

В паузах между входными импульсами триггеры сохраняют свои состояния, т. е. счетчик запоминает число входных импульсов. Нулевое состояние всех триггеров принимается за нулевое состояние счетчика в целом. Остальные состояния нумеруются по числу поступивших входных импульсов, Когда число входных импульсов Nвх>Ксч происходит переполнение, после чего счетчик возвращается в нулевое состояние и цикл повторяется. Коэффициент счета, таким образом, характеризует число входных импульсов, необходимое для выполнения одного цикла и возвращения в исходное состояние. Число входных импульсов и состояние счетчика взаимно определены только для первого цикла. После завершения каждого цикла на выходах последнего триггера возникают перепады напряжения.

Выводы.

Из большого числа возможных вариантов постоения принципиальных схем суммирующих счетчиков, в данном случае предпочтительнее выбирать те, которые удобнее реализуются в виде макета и дающие лучшую совместимость с компьютером.

5 РАЗРАБОТКА ПРОГРАММНОГО ОБЕСПЕЧПЕНИЯ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА.

5.1 Разработка драйвера устройства под ОС Windows.

Для связи разработанного счетчика с компьютером воспользуемся адаптером UMC-201, который в свою очередь выполнен на чипе FTDI FT8U232, и который обладает наилучшими возможностями настройки параметров соединения.

RS232-USB от FTDI Chip, являющиеся адаптарами на чипах, имеют поддержку большинства современных операционных систем, включая 64-битные.

Ниже представлены характеристики адаптера UMC-201 :

Совместим с USB1.1, USB2.0, питание от шины USB, разъем RS-232: DB-9M;, длина соединительного кабеля 1 м, скорость передачи данных через RS-232 от 300 бит/с до 230 кбит/с, управление потоком RTS/CTS или XOn/Xoff, совместимость с ПО MS Windows 98SE, ME, 2000, XP, Linux, Mac OS. 8.6 и выше.

5.2 Разработка тестирующего ПО.

Технологический процесс тестирование готовых микросхем с собранным измерительнм прибором, а в чстности, с 15ти разрядным счетчиком импульсов, включает операции, приведенные на рис.

5.1 [5]:

Рис. 5.1 Блок схема маршрута тестирования микросхем.

Программа тестирования процесса подсчета импульсов будет выглядеть следующим образом:

static int dig_out = 0, pulse_count = 0;

if (x[i] > ADC_THRESHOLD_HI) {.

if (!dig_out) pulse_count++;

dig_out = 1;

} else if (x[i] < ADC_THRESHOLD_LO) {.

dig_out = 0;

}.

Рис.

5.2 Программное обеспечение реализации подсчета импульсов.

При этом важно, чтобы промежуток между импульсами был тоже больше периода АЦП, иначе триггер не сбросится.

.

Выводы.

При разработке и тестировании программного обеспечения такого устройства, как счетчик импульсов, необходимо начать с выбора правильного драйвера интерфейса, либо соответствующего адаптора, если таковой имеется среди готовых элементов. Далее следует получение тестового образца (микросхемы содержащей искомый счетчик), определение тестируемых параметров полученного образца, подготовка контрольно-измерительного стенда в зависимости от полученной микросхемы и тестируемых параметров, создание тестового программного обеспечения (для алгоритмизации тестирования с помощью контрольно-измерительных стендов), запуск тестирования полученного образца тестируемой программой на измерительном стенде, обработка полученных результатов после процесса тестирования полученного образца.

6 РАСЧЕТ МОЩНОСТИ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА.

6.1 Описание методики расчета потребляемой мощности.

Нам понадобятся значения силы тока (I) и напряжения (V) источника питания. Для вычисления мощности (Р) нужно перемножить эти два значения.

6.2 Расчет мощности 15 разрядный двоично-десятичного счетчика.

Беря во внимание электрические параметры:

1 Выходное напряжение низкого уровня при Uп=4,75 В не более 0,4 В.

2 Выходное напряжение высокого уровня при Uп=4,75 В не менее 2,4 В.

3 Входной ток низкого уровня по счетному входу С1 при Uп=5,25 В не более -3,2 мА.

4 Входной ток низкого уровня по счетному входу С2 при Uп=5,25 В не более -6,4 мА.

5 Входной ток высокого уровня по счетному входу С1 при Uп=5,25 В не более 0,08 мА.

6 Входной ток высокого уровня по счетному входу С2 при Uп=5,25 В не более 0,16 мА.

Можно расчитать мощность:

Pниз.

ур.С1 = 0,4B * 1,6mA.

Pниз.

ур.С2 = 0,4B * 6,4mA.

Pвыс.

ур.С1 = 2,4B * 0,08mA.

Pвыс.

ур.С2 = 2,4B * 0,16mA.

Выводы Мощность потребления 15ти разрядного счетчика расчитывается по простой математической формуле P=I*U. Т. е. Pниз.

ур.С1 = 0,64.

Вт, Pниз.

ур.С2 = 0,256.

Вт, Pвыс.

ур.С1 = 0,192.

Вт, Pвыс.

ур.С2 = 0,64.

Вт.

7 РАСЧЕТ ВРЕМЕННЫХ ПАРАМЕТРОВ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА.

7.1 Описание методики расчета временных параметров 15 разрядного двоично-десятичного счетчика.

По определению, период T — это наименьший временной интервал, через который периодический сигнал повторяет свои значения. Частота f0 равна количеству периодов в единицу времени. Частота связана с периодов простым обратным соотношением f0 = 1/Т, поэтому измерив период, легко рассчитать и обратную величину — частоту, и наоборот.

Кроме того, интервал времени tx, заданный любым способом, разбивается на t0 элементарные интервалы (кванты), образуемые с помощью высокостабильного генератора импульсов. Число этих N элементарных интервалов подсчитывается счетчиком.

Таким образом, измеряемый интервал времени равен.

tx = N * t0.

где t0 = 1/f0 — период следования импульсов генератора стабильной частоты .

7.2 Расчет временных параметров счетчика Используя генератор тактовых импульов с частотой 10Гц, получим:

t0 = 1/10Гц = 0,1с.

tx = N*0,1c.

Выводы.

Расчет временных параметров для искомого счетчика импульсов сводится к определению периода и интервала времени действия.

8 РАСЧЕТ НАДЕЖНОСТИ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА.

8.1 Описание методики расчета надежности счетчика Расчет надежности устройства подсчета импульсов производится на основе таких данных, как:

— типы элементов,.

— интенсивность отказов элементов различных типов i.

— количество элементов Ni каждого типа, входящих в систему Все элементы разбивают на группы с одинаковой интенсивностью отказов внутри группы и подсчитывают количество элементов в группе и по таблицам находят средние интенсивности отказов элементов каждой группы, а далее вычисляют произведение Ni * i.

8.2 Расчет надежности счетчика Так, значения интенсивности отказов элементов по группам :

1. логические элементы — 0,05.

2. кварцевый резонатор — 0,3.

3. динамический D триггер — 1,5.

Расчет:

Вычисляем произведение Ni * i для каждой из групп:

1) Один кварцевый резонатор — 0,3.

3) 16 триггеров — 24.

Рассчитываем общую интенсивность отказов:

0,3 + 24 = 24,3.

Выводы Надежность конечного устройства заисит от надежности составляющих его элементов.

9 РАЗРАБОТКА РАБОЧЕГО МАКЕТА.

9.1 Монтаж узлов счетчика.

При монтаже узлов счетчика должен происходить в соответствии с разработанными ранее в работе структурной и функциональной схемой с учетом электрических параметров.

9.2 Отработка программного обеспечения счетчика.

Отрабатывать программное беспечение счетчика нужно в программной среде, соответствующей предложенному в работе программному обеспечению.

Выводы Монтаж влючает в себя не только механические процедуры, но и приведение в соотвтсвие как электрических составляющих, так и программного обеспечения.

10 ТЕСТИРОВАНИЕ 15 РАЗРЯДНОГО ДВОИЧНО-ДЕСЯТИЧНОГО СЧЕТЧИКА.

10.1 Методика тестирования Тестирование будет проводиться следующм образом:

На вход созданного устройства будут подаваться тактовые импульсы, а с выхода считываться последовательный код отображающий число полченных импульсов.

10.2 Результаты тестирования Результат тестирования будет представлять собой последовательный двоично-десятичный код.

Выводы Тестирование даст возможность проверить работоспособность созданной модели 15ти разрядного двоично-десятичного счетчика импульсов.

11 РАЗРАБОТКА ЭКСПЛУАТАЦИОННОЙ ДОКУМЕНТАЦИИ Эксплуатационная документация должна содержать список технических и электрических параметров.

ЗАКЛЮЧЕНИЕ

О ВЫПОЛНЕНИИ КУРСОВОГО ПРОЕКТА В данном проекте проведен анализ существующих устройств для подсчета импульсов. Разработаны структурная и функциональная схема нового устойства. Предложена электрическая реализация, узлы, интерфейс для предоставления результата на персональном компьютере. Приведены методики расчета мощности и надежности.

СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

.

Каган Б. М. Электронные вычислительные машины и системы: Учебное пособие для вузов. — М.: Энергоатомиздат, 1991.,.

Калиш Г. Г. Основы вычислительной техники. Учеб. пособ. для средн. проф. учебных заведений. — М.: Высш. Шк., 2000., 236с., стр.

Интегральные микросхемы и их зарубежные аналоги: Справочник. Том 2./А. В. Нефедов. — М.:ИП Радио.

Софт, 1998 г. — 640с.:

ил., стр.

Отечественные микросхемы и зарубежные аналоги Справочник. Перельман Б. Л., Шевелев В. И. «НТЦ Микротех», 1998 г., 376 с. — ISBN-5−85 823−006−7, стр.

Магеррамов Р. В. Использование контрольно-диагностических стендов для тестирования микросхем // Молодой ученый. —.

2016. — № 17. —.

С. 53−57.

ПРИЛОЖЕНИЕ А. ГРАФИЧЕСКАЯ ЧАСТЬ представлена на рис. 1.1, 1.2, 1.3, 2.1, 2.2, 2.3, 2.4, 2.5, 3.

1. 4.1, 5.1.

ПРИЛОЖЕНИЕ Б. ТЕКСТОВАЯ ЧАСТЬ КП НА CD-ROM.

— приложена на CD-ROM (стр.

2−22).

ПРИЛОЖЕНЕИ В. ПРОГРАМНОЕ ОБЕСПЕЧЕНИЕ НА СD-ROM.

— рис.

5.2.

ПРИЛОЖЕНЕИ Г. ПРЕДСТАВЛЕНИЕ РЕЗУЛЬТАТОВ КП ДЛЯ ЗАЩИТЫ В ФОРМЕ ПРЕЗЕНТАЦИИ НА CD-ROM.

— презентация состоит из выводов по каждой из глав.

Рис. 1.1 Простейший двухразрядный сдвигающий регистр

Рис. 1.2 Схема полусумматора.

Рис. 1.3 Схема полного сумматора.

T2.

T1.

T3.

Tn-1.

Вход.

Q0.

Q1.

Q2.

Q3.

Qn-1.

T0.

f/2.

f/4.

f/8.

f/16.

f/2n.

(.

(.

(.

(.

(.

J.

J.

J.

&.

&.

&.

&.

&.

&.

&.

&.

&.

&.

&.

&.

&.

&.

&.

&.

Q3 =8.

Q2=4.

Q1 =2.

Q0 =1.

D.

C.

D.

Q0.

Q1.

Q2.

Q3.

t.

С2.

СТ С1 Q0.

Q1.

Q2.

& Q3.

R0.

C.

DI.

D0 RG.

D1 Q0.

D2 Q1.

D3 Q2.

C1 Q3.

C2.

Входной параллельный код.

Выход последовательного кода.

Си.

СТ2.

Q0.

C.

Q1.

f0/4.

Си.

(С1).

C2.

Q0.

D1.

D2.

D3.

Вых. послед.

код.

Лист Листов.

Лит. Масса Масштаб.

Пров.

лол.

Разраб.

лол.

Изм. Лист № докум. Подп. Дата.

Схема технологического процесса проектирования двоично-десятичного счетчика.

Анализ задачи Исследование способа реализации устройства Согласование РТЗ.

Согласование календарного плана работ Согласование бланка задания.

Разработка САПР Web Pack ise демокомплект.

Разработка структурной схемы устройства.

Оформление эксплуатационной документации.

Приемка устройства.

Доработка устройства.

Разработка схемы электрической принципиальной.

Разработка алгоритма работы устройства.

(блок схема).

Изготовление и наладка устройства.

Выбор элементной базы.

Тестирование устройства.

Проверка. соот-ветствия результатов тестирования.

да.

нет.

Q11.

Q10.

Q9.

Q8.

Q7.

Q6.

Q5.

Q4.

Q3.

Q2.

Q1.

Q0.

D11 D10 D9 D8.

D7 D6 D5 D4.

D3 D2 D1 D0.

Q14.

Q13.

Q12.

DI.

D0 RG.

D1 Q0.

D2 Q1.

D3 Q2.

D4 Q3.

D5.

D6.

D7.

D8.

D9.

D9.

D10.

D11.

D12.

D13.

D14.

Си.

СТ2.

Q0.

C.

Q1.

f0/4.

Дисплей ЭВМ Последовательный код Инициализация счетчика Тело цикла.

Счетчик переполнен?

Да.

Да.

Нет.

Результат счета.

D1.

Q.

C1.

D2.

Q'.

C2.

D3.

Q.

C3.

D4.

Q'.

C4.

«4"-+5 В.

«11"-0.

S1.

S2.

R2.

R2.

C.

Q0.

Q1.

Q2.

Q3.

Q4.

Q5.

Q6.

Q7.

Q8.

Q9.

Q10.

Q11.

Q12.

Q13.

Q14.

R.

D.

C.

T1.

Q1.

R.

D.

C.

T0.

Q0.

R.

D.

C.

T2.

Q2.

R.

D.

C.

T3.

Q3.

Q3.

Q2.

Q1.

Счетный.

вход.

Выход десятичного числа.

Входы двоичного 15ти разрядного числа:

Входы двоичного 15ти разрядного числа:

Входы двоичного 15ти разрядного числа:

Q11 Q10 Q9 Q8.

Q7.

Q11.

Reset.

R.

D.

C.

T1.

Q1.

R.

D.

C.

T0.

Q0.

R.

D.

C.

T2.

Q2.

R.

D.

C.

T3.

Q3.

Q3.

Q2 Q1 Q0.

Set.

Cч.вход.

R.

D.

C.

T5.

Q5.

R.

D.

C.

T4.

Q4.

R.

D.

T7.

T6.

Q6.

R.

D.

C.

R.

D.

C.

T9.

Q9.

R.

D.

C.

T8.

Q8.

R.

D.

C.

T10.

Q10.

R.

D.

C.

T11.

R.

D.

C.

T13.

Q13.

R.

D.

C.

T12.

Q12.

R.

D.

C.

T14.

Q14.

Q7 Q6 Q5 Q4.

Q14 Q13 Q12.

Показать весь текст
Заполнить форму текущей работой
Купить готовую работу

ИЛИ