Помощь в написании студенческих работ
Антистрессовый сервис

Структурный синтез автоматов управления системами обработки информации реального времени

ДиссертацияПомощь в написанииУзнать стоимостьмоей работы

Реализация и внедрение результатов работы. Основные результаты работы в виде методики синтеза, обеспечения контролеспособности и повышения надежности МПА нашли применение при проектировании дискретной системы управления для стабилизации мощности газового лазера в службе Локомотивного хозяйства Восточно-Сибирской железной дороги ОАО РЖД, а также при проектировании медицинского прибора «Мультимед… Читать ещё >

Структурный синтез автоматов управления системами обработки информации реального времени (реферат, курсовая, диплом, контрольная)

Содержание

  • Перечень условных обозначений
  • Глава 1. Методы анализа и синтеза информационно-управляющих систем реального времени
    • 1. 1. Анализ и синтез микроэлектронных информационно управляющих систем
    • 1. 2. Микропрограммное управление
    • 1. 3. Методы повышения надежности дискретных устройств
    • 1. 4. Реализация автоматов управления на программируемых логических интегральных схемах
  • Выводы по главе 1
  • Глава 2. Управление техническими системами реального времени
    • 2. 1. Анализ структурной организации автоматов управления
    • 2. 2. Анализ и синтез быстродействующих автоматов
    • 2. 3. Методика синтеза сложных автоматов
    • 2. 4. Структурная организация микропрограммных автоматов с выбором логического условия
    • 2. 5. Моделирование сложных автоматов на микроконтроллерах
      • 2. 5. 1. Методы моделирования автоматов
      • 2. 5. 2. Моделирование сложных автоматов
  • Выводы по главе 2
  • Глава 3. Контроль и диагностика автоматов управления в системах реального времени
    • 3. 1. Контроль автоматов на основе кодов с фиксированным числом единиц
    • 3. 2. Метод динамического контроля автоматов
    • 3. 3. Контроль автоматов при многократных ошибках
    • 3. 4. Метод резервирования комбинационной схемы автомата с самоконтролем
  • Выводы по главе 3
  • Глава 4. Устройства управления в технологических и технических системах реального времени
    • 4. 1. Алгоритмы сложения чисел с плавающей запятой
    • 4. 2. Адаптивный цифровой фильтр
    • 4. 3. Автомат управления спецпроцессором функционального контроля БИС ПЗУ
    • 4. 4. Система реального времени управления режимом стабилизации мощности газового лазера
    • 4. 5. Управление процессом криптографической защиты информации
    • 4. 6. Система управления медицинским прибором
  • Выводы по главе 4

Современная интегральная технология предоставляет разработчикам средств автоматизации широкий набор больших и сверхбольших интегральных схем: микропроцессоров, контроллеров, постоянных и оперативных запоминающих устройств среднего и большого объема, программируемых логических матриц (ПЛМ). программируемых логических интегральных схем (ПЛИС), широкого набора элементов логики средней интеграции, специализированных БИС [50,61,93,132].

Казалось бы, нет проблем в реализации любых микроэлектронных информационно-управляющих средств для систем реального времени (СРВ).

Если речь идет о простом исполнении заданного комплекса алгоритмов без высоких требований к быстродействию и надежности, то проблема создания СРВ решается за счет специальных программных средств для микроконтроллера той или иной мощности. Однако для СРВ высокого быстродействия, предназначенных работать в экстремальных условиях остро встает вопрос оптимизации структурной организации как самой СРВ, так и управляющих подсистем (автоматов управления), обеспечивающих правильность реализации вычислительного и управляющего процесса.

В связи с усложнением задач, решаемых СРВ, существенно возрастают требования к подсистеме управлении как по быстродействию, так и по безотказности работы, т.к. в специализированных СРВ подсистема управления может составить от 30 до 50% оборудования. Реализация управления в виде классических структур (автомата Мура и Мили) при большом числе состояний (40−50) и логических условий (12−16 и более) становится проблематичной за счет необходимости применения ПЗУ или ПЛМ больших объемов, что ведет к снижению надежности. Поэтому реализация сложных СРВ осуществляется на микропроцессорах с программным управлением или на микроконтроллерах. При этом за счет дополнительного непроизводительного переноса информации при командной структуре управления и использовании программных интерпретаторов быстродействие СРВ снижается на 2−3 порядка по сравнению с чисто аппаратной реализацией операционной части и управлением микропрограммными автоматами (МПА). Для такой реализации помимо разработки методики проектирования СРВ на БИС требуется также разработка новой методики синтеза сложных МПА.

В диссертационной работе исследуются вопросы проектирования СРВ, но главное внимание уделено синтезу сложных МПА, определяющих, в основном, как быстродействие, так и надежность системы.

В первой главе приведен анализ научных публикаций по вопросам синтеза СРВ и МПА. Сформулирована постановка задачи исследований.

Во второй главе предложена новая методика синтеза сложных автоматов, основанная на специальном преобразовании граф-схемы (ГСА) алгоритма. Реализация МПА по предложенной методике обеспечивает снижение объема комбинационной схемы, выполненной на ПЗУ или ПЛМ, в десятки, сотни и тысячи раз (в зависимости от числа логических условий) по сравнению с классической структурой автомата Мура. На структурную организацию такого МПА получен патент на полезную модель.

В третьей главе предложены три способа динамического контроля МПА. На один из них получен патент.

В четвертой главе рассмотрены различные практические примеры аппаратной реализации систем реального времени для цифровой адаптивной фильтрации, адаптивной системы управления газовым лазером, аппаратной реализации СРВ криптографической защиты информации и др.

На спецпроцессоры для криптозащиты получены три патента на полезные модели.

Целью работы является: совершенствование существующих методов и средств обработки информации с проблемно-ориентированными устройствами управления сложными техническими системами реального времени.

Методы исследования базируются на использовании системйого анализа, теории графов, абстрактной и структурной теории автоматов, теории контроля и диагностики. В работе сочетаются формальные и содержательные подходы, а также метод моделирования на ЭВМ. Научная новизна работы заключается в следующем:

— предложена методика синтеза МПА, основанная на преобразовании граф-схемы алгоритма с перекоммутацией логических условий (входных переменных МПА).

— предложена структурная организация МПА, в которой за счет последовательной подачи q логических условий с помощью мультиплексора сложность комбинационной схемы для определения кодов состояний автомата снижается в 2Ч" 1 раз.

— модифицирован известный способ и предложен способ контроля МПА в процессе функционирования, а также способ резервирования комбинационной схемы МПА;

— предложена дискретная реализация СРВ для стабилизации мощности лазерного излучения, цифрового адаптивного фильтра и быстродействующих спецпроцессоров криптографической защиты информации (три патента на полезные модели) с новой структурной организацией функциональных преобразователей информации.

Практическая значимость заключается в том, что научные разработки дают возможность проектировать и реализовать на БИС более простые (по сравнению с известными методиками) системы управления мехатроникой, технологическими процессами в машиностроении, приборостроительной и электронной промышленности и в быстродействующих технических СРВ.

Реализация и внедрение результатов работы. Основные результаты работы в виде методики синтеза, обеспечения контролеспособности и повышения надежности МПА нашли применение при проектировании дискретной системы управления для стабилизации мощности газового лазера в службе Локомотивного хозяйства Восточно-Сибирской железной дороги ОАО РЖД, а также при проектировании медицинского прибора «Мультимед» для ООО «Байкальский научно-инженерный центр». Спецпроцессоры криптографической защиты информации апробируются соответствующими подразделениями ВСЖД ОАО РЖД. Материалы диссертации используются в учебном процессе Иркутского государственного университета путей сообщения в разделах курсов «Теория дискретных устройств», «Технические средства реализации информационных процессов», «Проектирование мехатронных модулей».

Достоверность результатов подтверждается: результатами сопоставительного анализа с типовыми решениямирезультатами моделирования и экспериментальной проверки функционирования МПА с помощью программной модели.

В соответствии с постановлением правительства Российской федерации от 8 ноября 2001 № 779 с дополнениями от 13.11.2009 № 816 «Национальная технологическая база на 2002;2006 годы» и уточнениями 2009 г. исследования относятся к программе «технологического перевооружения отечественной промышленности на основе передовых технологий» в области «Технологии информационных систем», соответствующих приоритетному направлению науки, технологии и техники Российской Федерации, «Космические и авиационные технологии», «Новые транспортные технологии», «Перспективные вооружения, военная и специальная техника», «Производственные технологии».

Публикации. По материалам диссертации опубликовано 32 работы, в том числе 3 статьи в журналах, рекомендованных ВАК РФ, 1 публикация в зарубежном журнале, 3 параграфа в учебных пособиях с грифом УМО, 5 патентов на полезные модели, 8 работ в едином авторстве. В работах с соавторами соискателю принадлежит от 40 до 60% результатов. Положения, составляющие новизну и выносимые на защиту, получены лично автором.

Структура и объем работы. Диссертационная работа состоит из введения, четырех глав, заключения, списка литературы. Работа содержит 175 страниц, включая текст, 75 рисунков, 38 таблиц и список литературы из 176 наименований. В приложении — акты о внедрении и краткие описания 5 патентов.

Выводы по главе 4:

1. Предложен способ снижения сложности табличной реализации умножения за счет использования не самого значения константы (один из сомножителей), а информации о константе.

2. Предложен оригинальный метод перестановки бит информации по заданному ключу, осуществляющей быстродействующую криптографическую защиту без применения итерационных процедур.

3. Предложен способ криптографической защиты информации для технических систем реального времени, основанный на применении таблиц секретных ключей с числом констант N=2m, где m — разрядность порции сообщения, подлежащей обработке.

4. Использование оригинальных методов рассеивания информации, выборки значений закрытого ключа и табличного способа умножения на константы существенно снижает сложность ГСА в структуре ИУС, а, следовательно, способствует реализации более простых МПА.

5. Произведен анализ ГСА для общераспространенных операций сложений и выравнивания порядков для чисел с плавающей запятой, а также алгоритма прерываний. Показано, что если МПА для этих операций реализовать по новой структурной организации, то сложность комбинационных схем МПА можно было бы снизить в 30−128 раз.

6. В задаче производственного контроля ПЗУ с ультрафиолетовым стиранием информации сложность А-подсистемы МПА для управления спецпроцессором с новой структурной организацией снижается более чем в 2048 раз.

7. Разработана СРВ адаптивного управления стабилизацией уровня мощности газового лазера. Реализация системы адаптивного управления предложена впервые. Сложность А-подсистемы МПА для предложенного СРВ по сравнению с автоматом Мура снижается в 2048 раз.

8. Предложена структурная схема СРВ адаптивной фильтрации низкочастотных и высокочастотных шумов при нестационарном полезном сигнале. А-подсистема МПА с новой структурной организацией может быть упрощенна в 128 раз.

9. Предложена и детально разработана структурная схема СРВ для криптографической защиты информации, позволяющей произвести кодирование и декодирование за единицы микросекунд. Такое быстродействие криптозащиты недостижимо в известных системах. Схемы спецпроцессоров оригинальны и защищены тремя патентами на полезные модели.

10. Предложена и детально разработана СРВ для управления медицинским прибором «Мультимед». МПА по разработанной ГСА при реализации по новой структуре упрощается в 256 раз.

Заключение

.

1. Произведен системный анализ структурной организации МПА с выделением Ффункциональной, Иинформационной, Аадресной, Л-логической и Ууправляющей подсистем. По признакам количества разрядов (ш) для представления состояний МПА и числу логических условий (q) автоматы разделены на четыре группы: — сверхпростые, простые, сложные и средней сложности. Анализ научных публикаций привел к выводу о том, что при использовании интегрального базиса (ПЗУ, ПЛМ и ПЛИС) наукоемкие технологии, связанные с декомпозицией, минимизацией булевых функций, и специальные способы кодирования, не дают существенного результата упрощения МПА для автоматов средней и повышенной сложности.

2. Предложена новая методика синтеза МПА, основанная на преобразовании граф-схем алгоритмов, позволяющая в реализации А-подсистемы использовать одномоментно не все q логических переменных, а подключать их последовательно в соответствии с текущим кодом состояния МПА. Структурная схема МПА, созданная по предложенной методике, оригинальна. Снижение объема ПЗУ для автоматов составляет 24″ 1 раз.

3. Для систем реального времени, работающих в экстремальных условиях (температурные перепады, сильные электромагнитные поля, вибрация и др.), предложено несколько методов для встроенного динамического контроля: метод контроля, основанный на проверке наличия только одной единицы в модифицированном геометрическом коде на выходе ПЗУ и использовании модифицированного двоичного кода в адресной части ПЗУ. При этом число разрядов в модифицированном двоичном коде всего на один больше, чем в двоичном позиционном коде. Схема МПА с самоконтролем оригинальна;

— модификация известного метода резервирования, основанная не только на дублировании Аподсистемы, но и на введении обратного преобразователя aa (t+l) —> a (t), что позволяет осуществить одновременно динамический контроль МПА и блокировку выдачи команд управления в случае неисправности или сбоя. Метод наиболее эффективен в комплексе с новой методикой синтеза МПА.

4. Разработан алгоритм моделирования новой структуры МПА на ПЭВМ с переложением на язык команд микроконтроллеров.

5. Рассмотрено применение основных положений диссертационной работы в задаче управления технологическим процессом (стабилизация мощности излучения промышленного лазера) и в технических системах со встраиваемыми информационными технологиями (адаптивный цифровой фильтр, быстродействующие аппаратные средства криптографической защиты информации для СРВ). Структурные схемы аппаратной реализации криптозащиты с новым типом МПА оригинальны.

Цифровая реализация системы управления лазером с разрывным принципом регулирования предложена впервые. На средства криптографической защиты информации получено три патента на полезные модели.

На примере производственных технологических процессов и технических систем реального времени подтверждена эффективность предлагаемых методов синтеза МПА, что позволяет рекомендовать результаты исследования к широкому внедрению в системы автоматизированного управления машиностроительной, химической, электронной, нефтегазовой промышленности и в задачи управления подвижными транспортными средствами (летательные аппараты, экранопланы, ж.д. транспорт и др.), а также медицинское приборостроение и оборонные комплексы.

Показать весь текст

Список литературы

  1. , Н.М. Производственные системы с искусственным интеллектом / Н. М. Абдикеев, Р. А. Алиев, М. М. Шахназаров. — М: Радио и связь, 1990. — 261 с.
  2. , Ю.А. Механизм обмена сообщениями для параллельно работающих автоматов / Ю. А. Алыпевский, М. Г. Раер, А А. Шалыто. — СПб.: СПбГТУ ИТМО, 2003. http:/is.ifino.ru/projects/turn
  3. , В.В. Схемотехника и средства проектирования цифровых устройств /В.В. Амосов. СПб: БХВ — Петербург, 2007. — 542 с.
  4. , А.П. Структурный синтез цифровых устройств / А. П. Антонов, В. Ф. Мелехин, Т. М. Митина. СПб.: Изд-во СПбГТУ, 1999. -88 с.
  5. , С.В. Контролирующие и диагностические процедуры на схемах алгоритмов / С. В. Анцупов, В. Н. Балакин, В. В. Барашенков // Автоматика и телемеханика, 1986. -№ 10. С. 127 -134.
  6. , В. А. О проблемах оптимального построения распределенных информационных систем / В. А. Остапчук, А. А. Воевода, С. В. Коротков // Научн. вестн. НГТУ. — Новосибирск: Изд -во НГТУ, 1999. № 2 (7). — С. 177 — 178.
  7. , С.М. Алгоритмы синтеза автоматов на ПЛМ / М.: Сов. радио, 1987. 135 с.
  8. , Т.С. Структурный синтез самоконтролируемых автоматов управления технологическими процессами: автореф. дис.. канд. тех. наук: защищена 25.09.2003: утв. 5.12.2003 / Т. С. Бадмаева. Иркутск: ИрГУПС, 2003. — 16 с.
  9. , В.Д. Специализированные процессоры / В. Д. Байков, В. Б. Смолов. М.: Радио и связь, 1985. — 288 с.
  10. , В.Н. Проектирование самопроверяемых управляющих устройств по тестопригодным схемам алгоритмов / В. Н. Балакин, В. В. Барашенков // Автоматика и телемеханика, 1988. № 11. — С. 161 — 168.
  11. , Е.П. Информационные системы. Табличная обработка информации / Е. П. Балашов, В. Б. Смолов. JL: Энергоатомиздат, 1985. -179 с.
  12. , С.И. Синтез автоматов на элементах с матричной структурой. С. И. Баранов, В. Н. Синев, Н. Я. Янцен // Проектирование функционально-ориентированных вычислительных систем. JI.: ЛГУ, 1990.-С. 90−108.
  13. , С.И. Цифровые устройства на программируемых БИС с матричной структурой / С. И. Баранов, В. А. Скляров. М.: Радио и связь, 1986.-270 с.
  14. , С.И. Матричная реализация управляющих автоматов / С. И. Баранов, Н. Я. Янцен / Теория дискретных управляющих устройств. М.: Наука, 1982.-С. 57−65.
  15. , В.В. Контроль синтаксической корректности операторных схем алгоритмов / В. В. Барашенков, О. Г. Кокаев, А. А. Гужавин // Вычислительная техника, Л.: ЛГУ, 1977. вып 6. — С. 64 — 71.
  16. , П.Н. Синтез дискретных управляющих систем на базе ПЛИС / П. Н. Бибило // Управляющие системы и машины. 1998. — ч.1 — № 2. -С.69−75, ч.2. — № 3. — С.48 — 58.
  17. , П.Н. Синтез логических схем на VHDL / П. Н. Бибило. М.: СОЛОН-Р, 2002.
  18. , Д.Б. Устройство для вычисления интенсивности взаимодействия алгоритмов в параллельных управляющих системах / Д. Б. Борзов, И. В. Зотов // Тр. научн. техн. конф. Управляющие и вычислительные системы, Вологда: Вол. ГТУ, 2000. С. 111−112.
  19. , Н.С. Создание роботов. (Mechatronics) / Н. С. Брага. М.: НТ Press, 2007. — 345 с.
  20. , В. Введение в теорию конечных автоматов / В. Брауэр. М.: Радио и связь, 1987. — 392 с.
  21. , В.Б. Системы на микроконтроллерах и БИС программируемой логики / В. Б. Бродин, А. В. Калинин. М.: Эком, 2002.
  22. , А.В. Противоаварийная автоматика энергосистем с упреждающими свойствами / А. В. Булычев, В. А. Гуляев, В. М Санько // Управляющие и вычислительные системы. — Вологда: Вол. ГТУ, 2000. — С. 75 76.
  23. , К.В. Организация взаимодействия локальных систем управления на основе автоматного подхода и функционального разделения автоматов управления. SIMATICS7−30(Siemens) / К. В. Вавилов. СПб: 2005. http://is.ifino.ru/progeny/s7300.pdf
  24. , Ш. К. Способ построения и реализации асинхронных конечных автоматов / Ш. К. Валиев // Совершенствование и повышение надежности железнодорожных систем автоматики, телемеханики и связи. — Днепропетровск: ДнИИЖТ, 1985. С. 88 — 95.
  25. , В.М. Микроэлектронные управляющие вычислительные комплексы (системное проектирование и конструирование) / В. М. Вальков. JI.: Машиностроение, 1990. — 234 с.
  26. , Е.П. Об одном методе построения бинарной программы, реализующей конечно-автоматный алгоритм / Е. П. Варфоломеев, B.C. Дудкин //Изв. ЛЭТИ. Л.: ЛЭТИ, 1981. — вып. 291. — С. 38−42.
  27. , В.И. Автоматное управление асинхронными процессами в ЭВМ и дискретных системах / В. И. Варшавский, М. А. Кишиневский, В. Б. Мараховский. М.: Наука, 1986. — 398 с.
  28. , А.И. Функционально-ориентированные процессоры / А. И. Водяхо, В. Б. Смолов, В. У. Плюснин, Д. В. Пузанков. JI.: Машиностроение, 1988. — 224 с.
  29. , М.А. Логическое проектирование дискретных автоматов / М. А. Гаврилов, В. В. Девятков, Е. И. Пупырев. М.: Наука, 1977. — 368 с.
  30. , В.М. Автоматно-алгебраические аспекты оптимизации МПА / В. М. Глушков // Тр. межд. матем. Конгресса. М.: 1968. — С. 5355.
  31. , В.М. Синтез цифровых автоматов / В. М. Глушков. М.: ФМиздат, 1962. — 476 с.
  32. Гома, X. UML. Проектирование систем реального времени, параллельных и распределенных приложений / X. UML. Гома- пер. с англ. М.: ДМК Пресс, 2002. — 704 с.
  33. , А.В. Фундаментальные основы дискретной математики / А. В. Горбатов. М.: Наука — Физматлит, 2000. — 544 с.
  34. , А.В. Логическое управление распределенными системами /
  35. A.В. Горбатов, М. И. Смирнов, И. С. Хлытчиев.- М.: Энергоатомиздат, 1991.-288 с.
  36. , А.П. Синтез диагностируемых систем вычислительных устройств/ А. П. Горяшко. М.: Наука, 1987. — 287 с.
  37. , В.В. Реализация комбинационных преобразований на БИС /
  38. B.В. Грамолин, В. Г. Першев, М. И. Шамров. УСиМ, 1980. — № 6. — С. 30 -35.
  39. , Р. Проектирование систем на ПЛИС / Р. Грушвицкий, А. Мурсаев, Е. Угрюмов. СПб.: БХВ — Петербург, 2002. — 606 с.
  40. , Е.А. Синтез полисинхронных дискретных устройств/ Е. А. Гурвиц. М.: Связь, 1969. — 172 с.
  41. , Э.В. Цифровые автоматы с настраиваемой структурой / Э. В. Евреинов, И. В. Прангишвилли. М.: Энергия, 1974. — 240 с.
  42. , М.П. Экспертные системы для наладки электроприводов / М. П. Дунаев. Иркутск: ИрГТУ, 2004. — 132 с.
  43. , А.Д. Параллельные алгоритмы логического управления /
  44. A.Д. Заревский. М.: УРСС, 2003. — 200 с.
  45. , А.Д. Анализ и синтез каскадных схем / А. Д. Закревский. -М.: Наука, 1981.-386 с.
  46. , К.А. Надежность, контроль и диагностика вычислительных машин и систем / К. А Иыуду. М.: Высшая школа, 1984. — 214 с.
  47. , А.Ф. Микропрограммные системы ЭВМ / А. Ф. Казак, О. Г. Кокаев, Г. А. Петров. Л.: ЛЭТИ, 1981. — 100 с.
  48. , Ю.Г. Теория автоматов / Ю. Г. Карпов.- СПб.: Питер, 2003−208 с.
  49. , В.Г. Теория автоматов / В. Г. Кирий. — Иркутск: ИрГТУ, 2007. — 144 с.
  50. , Ю.Б. Моделирование систем. Диагностические и гибридные системы / Ю. Б. Колесов, Ю. Б. Сениченков. СПб: БХВ — Петербург, 2006. — 220 с.
  51. , А.З. Алгоритмические модели резервирования микропроцессорных систем автоматики / А. З. Комков // Информационные системы контроля и управления в промышленности и на транспорте. Иркутск: ИрГУПС, 2006. — вып 13. — С. 142 — 148.
  52. , Б.В. Архитектура микропроцессорных систем / Б. В. Костров,
  53. B.Н. Ручкин .- М: Диалог МИФИ, 2007. 300 с.
  54. , В.В. Основные способы наращивания матричных программируемых схем /В.В. Кошкин, JI.A. Шумилов // Изв. ЛЭТИ. Л.: ЛЭТИ, 1982. — вып. 314. — С. 60 — 66.
  55. , Ю.М. Информационная безопасность и защита информации / Ю. М. Краковский. М.: Ростов-на-Дону, Март Т, 2008. -288 с.
  56. , А.П. О программной реализации логических функций и автоматов / А. П. Кузнецов. Автоматика и телемеханика. 1977. — № 4.
  57. , Б.Ф. Электронные промышленные устройства / Б. Ф. Кузнецов. Ангарск: Анг. Гос. техн. академия, 2009. — 151 с.
  58. , Е.В. Моделирование, спецификация и верификация «автоматных» программ / Е. В. Кузьмин //Программирование № 1, 2008.
  59. , В.Г. Синтез управляющих автоматов / В. Г. Лазарев, Е. И Пийль. М.: Энергоатомиздат, 1989. — 328 с.
  60. , И.А. Разработка текстового языка автоматного программирования и его реализация на основе автоматного подхода / И. А. Лагунов. СПб: СПбГУ ИТМО, 2008. http://is/ifino.ru/papers/fsml
  61. , И.П. Кольцевое тестирование цифровых устройств / И. П. Литиков. -М: Энергоатомиздат, 1990. — 156 с.
  62. Микропроцессорные средства производственных систем / ред. В. Г. Колосов. Л.: Машиностроение, 1988. — 247 с.
  63. , Д.М. Пневматические элементы и узлы в устройствах контроля / Д. М. Мордасов, М. М. Мордасов, А. В. Трофимов. Тамбов: Тамб. ГТУ, 2001. — 88 с.
  64. И. Элементная база для построения цифровых систем управления. М.: Техносфера, 2006. 173 с.
  65. , В.М., Микропроцессорные кодеры и декодеры / В. М. Муттер, Г. А. Петров, В. И. Маринкин, B.C. Степанов. М.: Радио и связь, 1991. -184 с.
  66. , Ю.Ф. Теория дискретных устройств / Ю. Ф. Мухопад. — Иркутск: ИрГУПС, 2009. 162 с.
  67. , Ю.Ф. Синтез МПА с ассоциативной памятью / Ю. Ф,. Мухопад, П. А. Бабкин // Сб. Микропроцессорные системы контроля и управления, тр. Сибирск. научно-технич. конфер. Новосибирск: НЭТИ, Томск: ТИАСУР, 1992. С. 90−99.
  68. , Ю.Ф. Синтез автоматов управления по декомпозированной схеме алгоритма / Ю. Ф. Мухопад, Т. С. Бадмаева. // Сб. Информационные системы контроля и управления на транспорте. — Иркутск: ИрИИТ, 2002. -С. 14−25.
  69. , Ю.Ф. Микропроцессорные системы контроля ПЗУ / Ю. Ф. Мухопад, JI.O. Березков, Г. С. Скосырский. Иркутск: ИГУ, 1984.- 114 с.
  70. , Ю.Ф. Перепрограммируемые матрицы пневматических систем программного управления / Ю. Ф. Мухопад, А. Ф. Бовкун // Автоматизированные системы контроля и управления на транспорте. — Иркутск: ИрИИТ, 1998. вып. 4. — С. 122 — 125.
  71. , Ю.Ф. Устройство поиска неисправных блоков и элементов / Ю. Ф. Мухопад, А. Н. Буинов, В. Л. Скибинский // А.с. СССР № 1 418 071. -БИ№ 31. 1988.
  72. , Ю.Ф., Микроэлектронные системы управления / Ю. Ф. Мухопад. Братск: БГУ, 2009. — 288 с.
  73. , Ю.Ф., Устройство для вычисления функции / Ю. Ф. Мухопад, В. М. Гардер // А.с. 696 472. БИ№ 41.- 1979.
  74. , Ю.Ф. Цифровое устройство воспроизведения функций / Ю. Ф. Мухопад, В. М. Гардер // А.с. 579 622. БИ. — 1979.
  75. , Ю.Ф. Адаптивный аналого-цифровой фильтр / Ю. Ф. Мухопад, Е. М. Кучина // А.С. 714 408. БИ № 5. — 1980.
  76. , Ю.Ф. Функциональные преобразователи с ограниченным числом хранимых констант / Ю. Ф. Мухопад, В. М. Лукашенко // Сб. Управляющие системы и машины. 1978. — № 5.
  77. , Ю.Ф. Автоматическая оптимизация энергетических характеристик мостовых СВЧ-плазмотронов / Ю. Ф. Мухопад, В. А. Молодкин А.И. Федченко, В. В. Марусин // Изв. СО АН СССР, Секц. техн. Наук. 1975. — Вып 3. № 13.
  78. Мухопад, Ю. Ф. Анализ и синтез информационно-управляющих систем / Ю. Ф. Мухопад // Сб. Информационные технологии и проблемы математического моделирования сложных систем. — Иркутск: ИрГУПС, 2007.-С. 33−46.
  79. , Ю.Ф. Микроэлектронные информационно-управляющие системы / Ю. Ф. Мухопад. Иркутск: ИрГУПС, 2004. — 404 с.
  80. , Ю.Ф. Программная матрица для пневматических систем дискретного действия / Ю. Ф. Мухопад, А. З. Комков, А. Ф. Бовкун // Патент РФ № 64 792. БИ № 19. — 2007.
  81. , Ю.Ф. Программная матрица для пневматических систем управления дискретного действия / Ю. Ф. Мухопад, А. З. Комков // Патент РФ № 62 717. БИ № 12. — 2007.
  82. , Ю.Ф. Специализированные вычислительные среды / Ю. Ф. Мухопад, В. К. Попков. Улан-Удэ: Бурятск. изд -во, 1982. — 183 с.
  83. , Ю.Ф. Гибридная вычислительная среда для решения экстремальных задач на графах / Ю. Ф. Мухопад, В. К. Попков, Д. Н. Чимитов. // Сб. Методы и программы решения оптимизационных задач на графах и сетях. Новосибирск: СО АН СССР, 1982. — ч. 1.
  84. , Ю.Ф., Проектирование специализированных микропроцессорных вычислителей / Ю. Ф. Мухопад. — Новосибирск: Наука, 1981.
  85. Мухопад, Ю.Ф.. Автоматная интерпретация устройств контроля МПС / Ю. Ф. Мухопад, JI.M. Сербуленко // сб. Микропроцессорные системы контроля и управления, тр. Сибирск. научно-технич. конфер.-Новосибирск: НЭТИ, Томск ТИАСУР. 1992 — С. 41−49.
  86. , Ю.Ф. Устройство контроля микропроцессорной системы / Ю. Ф. Мухопад, JI.M. Сербуленко // Положит, решен. ВНИИГПЭ № 94 033 171/033292. сентябрь 1994.
  87. , Ю.Ф., Системная модель микропроцессорных устройств / Ю. Ф. Мухопад // Локальные вычислительные сети и распределенная обработка данных. Новосибирск: НЭТИ, 1991. — С. 63−71.
  88. , Ю.Ф. Системный анализ Машины Тьюринга / Ю. Ф. Мухопад // сб. Микропроцессорные системы. — Новосибирск: НЭТИ, 1990.
  89. , Ю.Ф. Формирователь временных последовательностей / Ю. Ф. Мухопад, Г. С. Скосырский, В. М. Репин и др. // А.с. № 991 587 СССР.-БИ№ 3.- 1983.
  90. , Ю.Ф. Системный анализ постоянных запоминающих устройств / Ю. Ф. Мухопад, Г. С. Скосырский, Ю. Д. Чекмарев // сб. Микропроцессорные системы контроля и управления технологическими процессами. Улан-Удэ: 1989.
  91. , Ю.Ф. Вычислительное перестраиваемо устройство / Ю. Ф. Мухопад, В.Б. Смолов//А.с. № 524 187.- БИ№ 29.- 1977.
  92. , Ю.Ф. Использование системы геометрических кодов в ПЗУ / Ю. Ф. Мухопад, В. Б. Смолов, Ю. Д. Чекмарев // Изв. ВУЗов СССР. -Приборостроение, 1971. Т. 14. — № 6
  93. , Ю.Ф., Цифровая фильтрация нестационарных сигналов. / Ю. Ф. Мухопад // Информационные системы контроля и управления на транспорте. Иркутск: ИрГУПС, 2004. — Вып. 11. — С. 152−156.
  94. . Н.С. Цифровые устройства и микропроцессоры / Н. С. Нарышкин. М.: Академа, 2006. — 318 с.
  95. , С.А. Функциональная диагностика реконфигурируемых транспортных технологических систем по информационно-логическим схемам процессов/ С. А. Никищенков. Самара: СНЦ РАН, СамГАПС, 2005.- 159 с.
  96. , В.В. Основы теории систем и системы логического управления / В. В. Новорусский. Новосибирск: Наука, 1997. — 335 с.
  97. , В.В. Технологическая база интерфейсов локальных вычислительных сетей / В. В. Овечкин, И. И. Рыбкин. М.: Радио и связь, 1989.-271 с.
  98. , И.В. Надежность запоминающих устройств / И. В. Огнев, К. Ф. Сарычев. М.: Радио и связь, 1988. — 221 с.
  99. , Д. Управляющие программы для механических систем. Объектно-ориентированное проектирование систем реального времени / Д. Ослендер, Д. Риджли, Д. Рингерберг. М: Бином. Лаборатория знаний, 2009, — 148с.
  100. , П.П. Основы технической диагностики / П. П. Пархоменко, Е. С. Согомонян. М.: Энергоатомиздат, 1981. — 319 с.
  101. РФ. Микропрограммное устройство управления 2 042 189, 93 028 404, 2 018 937, 2 042 190, 2 042 188, 2 046 396, 2 046 395, 2 071 112.
  102. , И.С. Функциональные узлы цифровой автоматики / И. С. Потемкин. М.: Энергоатомиздат, 1988. — 320с.
  103. , А.В. Реализация МПА на ПЛИС / А. В. Палагин, А. А. Баркалов, С. И. Юсифов и др. УСиМ. — 1991. — № 8. — С. 18 — 22.
  104. , А.В. Утечка и защита информации в телефонных каналах / А. В. Петряков, B.C. Лагутин. М.: Энергоиздат, 1998. — 315 с.
  105. , Ф.И. Введение в системный анализ / Ф. И. Перегудов, Ф. П. Тарасенко. М.: Высшая школа, 1989. — 363 с.
  106. , А.В. Микропрограммное устройство управления / А. В. Плотников, А. А. Баркатов, К. Е. Стародубцев. УСиМ, 1987. — № 4. с. 38−41.
  107. , Н.И. Автоматное программирование / Н. И. Поликарпова, А. А. Шалыто. СПб: «Питер», 2009. — 176 с.
  108. , Л.Ф. Адаптирующийся решающий элемент в системах с мажоритарным принципом резервирования / Л. Ф. Полякова // Проектирование ЦВМ. Л.: ЛГУ, 1974. — Вып 1. — С. 83−91.
  109. , А.И. Основы теории цифровых автоматов / А. И. Постников. Красноярск: КрГТУ, 1999. — 251 с.
  110. , Н.Н. Методы анализа и синтеза разрывных систем адаптивного управления технологическими процессами: автореф. дис. .докт .техн. наук: защищена 18.06.2009: утв. 21.11.2009 / Н. Н. Пашков. -Иркутск: Изд-во ИрГУПС, 2009. -40 с.
  111. , Л.С. Устройство для контроля дешифраторов / Л. С. Прищепа, В. А. Илюшкин // Интеллектуальные системы в управлении, конструировании и образовании. — Томск: ТГУСУР, 2002. С. 160−163.
  112. , Е.И. Перестраиваемые автоматы и МПС /Е.И. Пупырев.- М.: Наука, 1984. 191 с.
  113. , И.Н. Адаптивные фотоэлектрические преобразователи с микропроцессорами / И. Н. Пустынский, B.C. Титов, Т. А. Шарабакина. -М.: Энергоатомиздат, 1990. 78 с.
  114. , Е.О. Инструментальное средство для визуального проектирования автоматных программ на основе Microsoft Domain — Specific Language Tools. СПб: СПбГУ ИТМО, 2007. -http://is.ifmo.ru/papers/reshetnikovbachelor
  115. , Б.Е. Новый метод кодирования подфункций в задачах синтеза цифровых устройств на ПЛМ / Б. Е. Рыцар, А. Б. Кметь // Кибернетика и системный анализ, 2003. № 2. — С. 63−89.
  116. , А.Г. Минимизация числа внутренних состояний МПА, выполненного с использованием ПЗУ / А. Г. Савельев // Сб. Автоматы и управление. М.: Наука, Институт проблем передачи информации АН СССР, 1973.-С. 15−26.
  117. , Ю.Л. Кодирование состояний автомата. / Ю. Л. Сагалович // Теория дискретных управляющих устройств. М.: Наука, 1982. — С. 175 — 182.
  118. , Т.А. Применеие специализированных микропроцессорных систем в энергетике / Т. А. Садреев, Р. Г. Хузяшев, А. В. Булычев и др. // Тр. межвуз. конф. «Управляющие и вычислительные системы». -Вологда, ВолГТУ, 2000. С. 62, 67−68, 73−76.
  119. , Д.И. Метод синтеза произвольной логики на ПЛМ / Д. И. Самаль, В. В. Соловьев // Автоматика и вычислительная техника. — 1997.-№ 1.-С. 57−64.
  120. , В.В. Теория дискретных устройств ж.д. автоматики, телемеханики и связи / В. В. Сапожников, Вл.В. Сапожников. М.: Транспорт, 2001. — 307 с.
  121. Синтез управляющих устройств в однородных средах. / ред В. И. Сифоров, М.: Наука, 1984. 166 с.
  122. , Г. С. Устройство функционального контроля блоков памяти / Г. С. Скосырский, Ю. Ф. Мухопад. А.С. СССР № 1 547 034, БИ № 8, 1990.
  123. , Г. С. Особенности параметрического и функционального контроля БИС ПЗУ с плавающим затвором / Г. С. Скосырский // Проектирование специализированных вычислителей и управляющих систем. Иркутск: ИГУ, 1984. — С. 76−82.
  124. , А.А. Сжатие информации в табличных структурах / А. А. Смагин. Саратов: Сар. Ун-т, 1985. — 124 с.
  125. , Е.С. Самопроверяемые устройства и отказоустойчивые системы / Е. С. Согомонян, Е. В. Слабаков. М.: Радио и связь, 1989. — 208 с.
  126. , В.В. Логическое проектирование цифровых систем на основе ПЛИС / В. В. Соловьев, A.M. Климович // Горячая линия -Телеком, 2008. 374 с.
  127. , Н.А. Синтез схем управления параллельных вычислительных систем / Н. А. Стародубцев. Л.: Наука, 1984. — 320 с.
  128. , В.Б. ПЛИС фирмы Altera: Проектирование устройств обработки сигналов / В. Б. Стешенко. М.: Додэка, 2000. — 124 с.
  129. , А.О. Проектирование автомата управления с предельным быстродействием / А. О. Тимофеев, Л. Г. Теницкий // Изв. ЛЭТИ. — Л .: ЛЭТИ, 1981.- Вып 291.-С. 47−51.
  130. Тоценко, В. Г, Алгоритмы технического диагностирования дискретных устройств / В. Г. Тоценко. М.: Радио и связь, 1985. — 238 с.
  131. , Е.П. Проектирование элементов и узлов ЭВМ / Е. П. Угрюмов. М.: Высшая школа, 1987. — 318 с.
  132. , Т. Разработка встроенных систем с помощью микроконтроллеров PIC / Т. Уилмсхерст. М.: «МК-Пресс», 2008.- 543 с.
  133. , В.П. Техническое диагностирование транспортных средств / В. П. Феоктистов, И. К. Лакин // Транспорт: Наука, техника, управление, 1997. № 11. — С. 5 — 19.
  134. , Д. Введение в теорию автоматов, языков и вычислений / Д. Хопкрофт, Р. Мотвани, Д. Ульман.- М: Вильяме, 2002. 288 с.
  135. , С.Н. Моделирование работы устройств автоматики средствами теории автоматов / С. Н. Харлан // Сб. Белоруск. Гос. ун-та. — Гомель: 1995.-С. 102- 133.
  136. , М.К. Основы общей теории конечных автоматов / М. К. Чирков. Л.: ЛГУ, 1975. — 279 с.
  137. А.А. Логическое управление. Методы аппаратной и программной реализации алгоритмов. — СПб.: Наука, 2000. 312 с.
  138. , Б.В. Микропроцессорные структуры / Б. В. Шевкопляс. -М.: Радио и связь, 1986. 264 с.
  139. , Н.С. Достоверность работы цифровых устройств / Н. С. Щербаков. М.: Машиностроение, 1989. — 224 с.
  140. , Г. И. Обеспечение помехоустойчивости цифровых систем / Г. И. Шишкин. Саров.: ФГУП «РФЯЦ-ВНИИЭФ, 2004. -ч 1.-435 с.
  141. , Б. Практическая криптография / Б. Шнайер, Н. Ферпоссон. -М.: Диалектика, 200. 412 с.
  142. , Ю.А. Системы и модели / Ю. А. Шрейдер, А. А. Шаров. М.: Радио и связь, 1982. — 152 с. 1. Работы автора:
  143. , Ю.Ф. Встроенный контроль в автоматах управления / Ю. Ф. Мухопад, А. Ю. Мухопад, Т. С. Бадмаева // Вестник ИрГТУ. № 2, 2006. -С. 148−150.
  144. , А.Ю. Динамический контроль автоматов / А. Ю. Мухопад. — Новосибирск: Изв. НГТУ. № 3. — 2008. — С. 55 — 58.
  145. , А.Ю. Метод синтеза сложных автоматов / А. Ю. Мухопад, Ю. Ф. Мухопад. Новосибирск: Изв. НГТУ, 2009. — Вып. 1. — С. 212 -214.
  146. , Ю.Ф. Самоконтролируемый автомат управления / Ю. Ф. Мухопад, А. Ю. Мухопад, Т. С. Бадмаева // Патент РФ на полезную модель № 63 588. БИ№ 15, 2007.
  147. , Ю.Ф. Устройство криптографической защиты информации / Ю. Ф. Мухопад, А. Ю. Мухопад, Т. Б. Агафонов // Патент РФ на полезную модель № 82 974. -БИ№ 13, 2009.
  148. , Ю.Ф. Устройство криптографической защиты информации / Ю. Ф. Мухопад, А. Ю. Мухопад, Б. Н. Антошкин // Патент РФ на полезную модель № 82 889. -БИ № 13, 2009.
  149. , А.Ю. Устройство криптографической защиты информации / А. Ю. Мухопад, Ю. Ф. Мухопад // Патент РФ на полезную модель № 82 890. -БИ№ 13,2009.
  150. , А.Ю. Микропрограммный автомат / А. Ю. Мухопад, Ю. Ф. Мухопад // Патент РФ на полезную модель № 82 888. БИ № 13, 2008.
  151. , А.Ю. Структурная организация управляющих устройств мехатронных систем / А. Ю. Мухопад, Ю. Ф. Мухопад // Тр. III Межд. конф. «Проблемы механики современных машин». Улан-Удэ: ВСГТУ, 2006.-С. 259−262.
  152. , А.Ю. Структурная организация программной модели сложных автоматов управления мехатроникой / А. Ю. Мухопад // Тр. IV Международной конференции «Проблемы механики современных машин». Улан-Удэ: ВСГТУ, 2009. — том 3. — С. 88 — 94.
  153. , А.Ю. Структурная организация программной модели сложных автоматов систем реального времени / А. Ю. Мухопад //
  154. Тр. XXII Международной конференции «Математические методы в технике и технологиях». Псков: 111Щ, 2009. — ч. 2. — С. 70−71.
  155. , А.Ю. Метод синтеза сложных автоматов / А. Ю. Мухопад, Ю. Ф. Мухопад // Тр. XIV Байкальской Всероссийской конференции «Информационные и математические технологии в науке и управлении». Иркутск: ИСЭМ СО РАН, 2009. — том 1. — С.157 — 163.
  156. , Ю.Ф. Защита информации в информационно-управляющих системах реального времени / Ю. Ф. Мухопад, А. Ю. Мухопад // Тр. VIII междун. конф. «Системный анализ в проектировании и управлении» СПб.: 2009, часть 2. С. 209 — 211.
  157. , А.Ю. Статистическая обработка данных о нестационарных процессах / А. Ю. Мухопад, Ю. Ф. Мухопад // сб. Асимптотические методы в задачах проектирования летательных аппаратов. — Иркутск: ИрГТУ, 1996.-С. 45−47.
  158. , Е.М. Метод анализа дефектограмм цилиндрических оболочек / Е. М. Кучина, А. Ю. Мухопад // Ст. Информационные системы контроля и управления на транспорте. Иркутск: ИрГУПС, 2002. — Вып 10. — С. 149−152.
  159. , Ю.Ф. Комбинаторно-нейронные сети / Ю. Ф. Мухопад, А. Ю. Мухопад // Сб. Информационные системы контроля и управления на транспорте. Иркутск: ИрГУПС, 2000. — Вып. 8. — С. 54 — 62.
  160. , Ю.Ф. Один из методов кодирования информации в микропроцессорных системах / Ю. Ф. Мухопад, А. Ю. Мухопад // Сб. Информационные технологии и проблемы математического моделирования сложных систем. Иркутск: ИрГУПС, 2006. — С. 54 — 58
  161. , А.Ю. Таблично-алгоритмический кодер / А. Ю. Мухопад, Ю. Ф. Мухопад, Т. Б. Агафонов // Информационные системы контроля и управления на транспорте. Иркутск: ИрГУПС, 2002. — Вып. 10. — С. 171 — 175.
  162. Ю.Ф. Контроль и диагностика автоматов управления /Ю.Ф. Мухопад, А. Ю. Мухопад, Т. С. Бадмаева // Системный анализ. Моделирование. Новые технологии. Иркутск: ИрГУПС, 2005. — Вып. 5.- С. 78−81.
  163. , А.Ю. Структурная организация автоматов с контролем / А. Ю. Мухопад // Сб. Информационные системы контроля и управления на транспорте. Иркутск: ИрГУПС, 2005. — Вып. 13. — С. 75 — 78.
  164. , Ю.Ф. Структурная организация самоконтролируемых автоматов / Ю. Ф. Мухопад, А. Ю. Мухопад, Т. С. Бадмаева //Современные технологии. Системный анализ. Моделирование.- ISSN 1813−9108.- 2005.-№ 1.- С. 81−85.
  165. , А.Ю. Динамический контроль микропрограммных автоматов/ А. Ю. Мухопад // Информационные системы контроля и управления в промышленности и на транспорте. Иркутск: ИрГУПС, 2009.-Вып. 16. — С.78 — 82.
  166. , Ю.Ф. Организация средств управления микроэлектронными техническими системами / Ю. Ф. Мухопад, А. Ю. Мухопад // Современные проблемы науки и образования. № 6, 2009. — С.30 — 31.
  167. , А.Ю. Моделирование сложных автоматов информационно-управляющих систем / А. Ю. Мухопад // в кн. Ю. Ф. Мухопада «Теория дискретных устройств». — Иркутск: ИрГУПС, 2009. С. 146 — 142.
  168. , А.Ю. Минимизация кодового представления переходов в сложных автоматах / А. Ю. Мухопад, Ю. Ф. Мухопад // в кн. Ю. Ф. Мухопада «Микроэлектронные автоматы управления». Братск: БГУ, 2009.-С. 151 — 155.
  169. Mukhopad, Yr. F. Microelectronic controlling of realtime complicated technical systems / Yr. Mukhopad, A.Yr. Mukhopad//International journal of applied and fundamental research (JSSN 1996- 3955). № 2, 2009. — p. 26 -29.
  170. А.Ю. Контроль функционирования автоматов управления / А. Ю. Мухопад, Ю. Ф. Мухопад // в кн. Ю. Ф. Мухопада «Микроэлектронные системы управления» Братск: БГУ, 2009. С. 166 — 170.
  171. , Г. К. Медицинский прибор Мультимед / Г. К. Хомяков, Ю. Ф. Мухопад, Г. П. Патрушев, А. Ю. Мухопад // Заявка на полезную модель. -2010
  172. , Т.Б. Динамически модифицируемые матрицы для кодирования информации / Т. Б. Агафонов, Ю. Ф. Мухопад, А. Ю. Мухопад // Сб. Информационные системы контроля и управления на транспорте. Иркутск: ИрГУПС, 2001. — Вып 9. — С. 159 — 161.
  173. , Т.Б. Динамически модифицируемые матрицы для кодирования информации / Т. Б. Агафонов, Ю. Ф. Мухопад, А. Ю. Мухопад // Сб. Информационные системы контроля и управления на транспорте. Иркутск: ИрГУПС, 2001. — Вып. 9. — С.159 — 161.
  174. , А.Ф. Программа моделирования автоматов управления / А. Ф. Полетаев, А. Ю. Мухопад // Заявка на получение свидетельства об официальной регистрации программы для ЭВМ. — 2010.1.
Заполнить форму текущей работой