Помощь в написании студенческих работ
Антистрессовый сервис

Мастер VHDL Test Bench Wizard (Испытательного стенда VHDL)

РефератПомощь в написанииУзнать стоимостьмоей работы

Второй — файл с дополнительной конфигурацией синхронизации, а последний — файл макроса моделирования, который автоматизирует весь процесс генерации формы сигнала, и выполняет файлы Испытательного стенда. Можно вызвать мастер Test Bench Wizard, выбирая опцию Generate Test Bench из меню Tools. В поле Entity необходимо выбрать проект, для которого нужно сгенерировать файл испытательного стенда… Читать ещё >

Мастер VHDL Test Bench Wizard (Испытательного стенда VHDL) (реферат, курсовая, диплом, контрольная)

Файлы Испытательного стенда, создаваемые с помощью Мастера Test Bench Wizard, совместимы со стандартным языком VHDL. Мастер позволяет создание испытательного стенда с уже существующими формами сигналов или создание шаблонного файла, в который все значения сигналов будут вставлены позже.

Иногда легче изменить форму сигнала в графическом редакторе, чем описать образец с помощью кода. Тем, кто предпочитает второй вариант, Мастер Bench Wizard предоставляет быструю и надежную шаблонную среду разработки, экономя время и трудозатраты.

Можно вызвать мастер Test Bench Wizard, выбирая опцию Generate Test Bench из меню Tools. В поле Entity необходимо выбрать проект, для которого нужно сгенерировать файл испытательного стенда и щелкнуть по значку Next.

В следующем окне, нужно выбрать: либо сгенерировать Испытательный стенд из уже существующего файла waveform либо создать новый файл Испытательного стенда для последующего редактирования. В последнем случае нужно просто снять флажок напротив панели Тестовые векторы и щелкнуть по значку Next.

Следующее окно позволяет менять имя объекта испытательного стенда, архитектуру испытательного стенда и т. д. Возможно также определить папку, куда будут помещены сгенерированные файлы.

Последнее окно показывает имена файлов, которые будут сгенерированы. Первый файл-файл испытательного стенда с тестовыми векторами.

Второй — файл с дополнительной конфигурацией синхронизации, а последний — файл макроса моделирования, который автоматизирует весь процесс генерации формы сигнала, и выполняет файлы Испытательного стенда.

Нажатием кнопки Finish, будут сгенерированы файлы Испытательного стенда.

В зависимости от выбранных опций мастер Test Bench Wizard или создаст файл испытательного стенда с процессами, управляющими входными сигналами или создаст шаблонный файл испытательного стенда, который позволит вручную вставить требуемые значения входных воздействий.

Показать весь текст
Заполнить форму текущей работой