ΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² написании студСнчСских Ρ€Π°Π±ΠΎΡ‚
АнтистрСссовый сСрвис

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎ-Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹ΠΉ комплСкс для ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ ΠΈ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠ³ΠΎ обСспСчСния Π½Π° Π±Π°Π·Π΅ RISC микропроцСссора AT91SAM9260

Π”ΠΈΠΏΠ»ΠΎΠΌΠ½Π°ΡΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² Π½Π°ΠΏΠΈΡΠ°Π½ΠΈΠΈΠ£Π·Π½Π°Ρ‚ΡŒ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒΠΌΠΎΠ΅ΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹

ΠžΡ‚Π»ΠΈΡ‡ΠΈΠΉ Ρƒ ΡΡ‚ΠΈΡ… ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠ² ΠΌΠ½ΠΎΠ³ΠΎ, поэтому всС зависит ΠΎΡ‚ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚Π΅ΠΉ ΠΏΠΎ ΠΏΠΎΠΊΡƒΠΏΠΊΠ΅ коммСрчСской срСды ΠΈ ΠΏΡ€Π΅Π΄Ρ‹Π΄ΡƒΡ‰Π΅Π³ΠΎ ΠΎΠΏΡ‹Ρ‚Π° Ρ€Π°Π±ΠΎΡ‚Ρ‹. Π Π°Π±ΠΎΡ‚Π° Π² ΡΡ€Π΅Π΄Π΅ IAR ΠΏΠΎΠ΄ Ρ€Π°Π·Π½Ρ‹ΠΌΠΈ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π°ΠΌΠΈ практичСски Π½Π΅ ΠΎΡ‚личаСтся. Π‘ Eclipse, Π½Π° ΠΏΠ΅Ρ€Π²Ρ‹ΠΉ взгляд, всС нСсколько слоТнСС, Π½ΠΎ ΠΊ ΠΈΠ½Ρ‚ΡƒΠΈΡ‚ΠΈΠ²Π½ΠΎ понятному интСрфСйсу ΠΏΡ€ΠΈΠ²Ρ‹ΠΊΠ½ΡƒΡ‚ΡŒ Π½Π΅Ρ‚Ρ€ΡƒΠ΄Π½ΠΎ. Π”ΠΈΠ°Π»Π΅ΠΊΡ‚Ρ‹ Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠΉ языка CΠΈ Ρƒ IAR ΠΈ GCC Ρ€Π°Π·Π½Ρ‹Π΅. Π’ ΠΎΡΠ½ΠΎΠ²Π½ΠΎΠΌ это касаСтся Ρ€Π°Π±ΠΎΡ‚Ρ‹ с ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ… Π§ΠΈΡ‚Π°Ρ‚ΡŒ Π΅Ρ‰Ρ‘ >

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎ-Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹ΠΉ комплСкс для ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ ΠΈ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠ³ΠΎ обСспСчСния Π½Π° Π±Π°Π·Π΅ RISC микропроцСссора AT91SAM9260 (Ρ€Π΅Ρ„Π΅Ρ€Π°Ρ‚, курсовая, Π΄ΠΈΠΏΠ»ΠΎΠΌ, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒΠ½Π°Ρ)

1. Анализ тСхничСского задания

2. Анализ возмоТностСй процСссора

3. ОписаниС Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠΉ схСмы

4. Π’Ρ‹Π±ΠΎΡ€ элСмСнтной Π±Π°Π·Ρ‹

5. Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° Ρ‚ΠΎΠΏΠΎΠ»ΠΎΠ³ΠΈΠΈ ПАК

5.1 Π’Ρ‹Π±ΠΎΡ€ ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»Π° ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹

5.2 Π Π°Π·ΠΌΠ΅Ρ‰Π΅Π½ΠΈΠ΅ ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ² ΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ²

5.3 Π’Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… отвСрстий

5.4 Π’Ρ‹Π±ΠΎΡ€ систСмы Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ проСктирования

6. Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π½Π°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π·Π°Π³Ρ€ΡƒΠ·Ρ‡ΠΈΠΊΠ°

6.1 Π’Ρ‹Π±ΠΎΡ€ срСды программирования

6.2 ΠžΡΠΎΠ±Π΅Π½Π½ΠΎΡΡ‚ΠΈ программирования ΠΎΠ΄Π½ΠΎΠΊΡ€ΠΈΡΡ‚Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° сСрии AT91

6.3 ΠšΡ€Π°Ρ‚ΠΊΠΎΠ΅ описаниС сСмСйства sam9

6.4 Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€Π° Π±Π°Π·ΠΎΠ²ΠΎΠ³ΠΎ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° сСмСйства AT91

6.5 Алгоритм Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° AT91RM9260

6.6 ОписаниС ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹

7. РасчСт надСТности

8. Π’Π΅ΠΏΠ»ΠΎΠ²ΠΎΠΉ расчСт

9. ЭкономичСский расчёт

9.1 РасчСт сСбСстоимости

9.2 ΠžΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΈΠ΅ ΠΊΠΎΠ½ΠΊΡƒΡ€Π΅Π½Ρ‚Π½ΠΎΠΉ Ρ†Π΅Π½Ρ‹

10. Π‘Π΅Π·ΠΎΠΏΠ°ΡΠ½ΠΎΡΡ‚ΡŒ ΠΆΠΈΠ·Π½Π΅Π΄Π΅ΡΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ

10.1 Π­Π»Π΅ΠΊΡ‚Ρ€ΠΎΠ±Π΅Π·ΠΎΠΏΠ°ΡΠ½ΠΎΡΡ‚ΡŒ

10.2 ВрСбования ΠΊ ΠΏΠΎΠΌΠ΅Ρ‰Π΅Π½ΠΈΡΠΌ ΠΏΡ€ΠΈ эксплуатации ΠΏΡ€ΠΈΠ±ΠΎΡ€Π° ΠΈ ΠΏΠ΅Ρ€ΡΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠΉ Π­Π’Πœ

10.3 ВрСбования ΠΊ ΡˆΡƒΠΌΡƒ ΠΈ Π²ΠΈΠ±Ρ€Π°Ρ†ΠΈΠΈ

10.4 ВрСбования ΠΊ ΠΎΡΠ²Π΅Ρ‰Π΅Π½ΠΈΡŽ ΠΏΠΎΠΌΠ΅Ρ‰Π΅Π½ΠΈΠΉ ΠΈ Ρ€Π°Π±ΠΎΡ‡ΠΈΡ… мСст

10.5 Π—Π°Ρ‰ΠΈΡ‚Π° ΠΎΡ‚ ΠΈΠ·Π»ΡƒΡ‡Π΅Π½ΠΈΡ

10.6 ΠžΠ±Π΅ΡΠΏΠ΅Ρ‡Π΅Π½ΠΈΠ΅ ΠΏΠΎΠΆΠ°Ρ€Π½ΠΎΠΉ бСзопасности

10.7 ВрСбования ΠΊ ΠΎΡ€Π³Π°Π½ΠΈΠ·Π°Ρ†ΠΈΠΈ Ρ€Π°Π±ΠΎΡ‡ΠΈΡ… мСст

10.8 ВрСбования ΠΊ ΠΎΡ€Π³Π°Π½ΠΈΠ·Π°Ρ†ΠΈΠΈ Ρ€Π΅ΠΆΠΈΠΌΠ° Ρ‚Ρ€ΡƒΠ΄Π° Π—Π°ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ Бписок Π»ΠΈΡ‚Π΅Ρ€Π°Ρ‚ΡƒΡ€Ρ‹

Π’ Π½Π°ΡΡ‚оящСС врСмя число микросхСм с 32-разрядной Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€ΠΎΠΉ Ρ‡Ρ€Π΅Π·Π²Ρ‹Ρ‡Π°ΠΉΠ½ΠΎ Π²Π΅Π»ΠΈΠΊΠΎ, ΠΈ ΠΏΠ΅Ρ€Π΅Π΄ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Ρ‡ΠΈΠΊΠΎΠΌ стоит Π½Π΅Π»Ρ‘Π³ΠΊΠΈΠΉ Π²Ρ‹Π±ΠΎΡ€ ΠΊΠ°ΠΊΠΎΠΉ ΠΈΠ· Π½ΠΈΡ…, Π² ΠΊΠΎΠ½Ρ†Π΅ ΠΊΠΎΠ½Ρ†ΠΎΠ², ΠΎΡ‚Π΄Π°Ρ‚ΡŒ ΠΏΡ€Π΅Π΄ΠΏΠΎΡ‡Ρ‚Π΅Π½ΠΈΠ΅. Наряду с ΡΡ‚ΠΈΠΌ Π½Π΅ ΡΠ»Π΅Π΄ΡƒΠ΅Ρ‚ Π·Π°Π±Ρ‹Π²Π°Ρ‚ΡŒ ΠΈ ΠΎ Ρ‚Π°ΠΊΠΎΠΌ Π²Π°ΠΆΠ½ΠΎΠΌ аспСктС, ΠΊΠ°ΠΊ квалифицированная тСхничСская ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° со ΡΡ‚ΠΎΡ€ΠΎΠ½Ρ‹ производитСля. Π’Π΅Π΄ΡŒ соврСмСнный 32-разрядный ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ — это систСма Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅, Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎ аналогичная систСмной ΠΏΠ»Π°Ρ‚Π΅ ΠΏΠ΅Ρ€ΡΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π° класса Pentium, Π° ΠΏΠΎ Π½Π°Π±ΠΎΡ€Ρƒ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² подчас ΠΈ ΠΏΡ€Π΅Π²ΠΎΡΡ…одящая Ρ‚ΠΈΠΏΠΎΠ²ΡƒΡŽ ΡΠΈΡΡ‚Π΅ΠΌΠ½ΡƒΡŽ ΠΏΠ»Π°Ρ‚Ρƒ. Π§Ρ‚ΠΎΠ±Ρ‹ Π½Π°ΡƒΡ‡ΠΈΡ‚ΡŒΡΡ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒΡΡ всСми возмоТностями Ρ‚Π°ΠΊΠΎΠΉ микросхСмы, приходится Π²Π½ΠΈΠΌΠ°Ρ‚Π΅Π»ΡŒΠ½ΠΎ Ρ‡ΠΈΡ‚Π°Ρ‚ΡŒ тСхничСскоС описаниС, ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ Π·Π°Π½ΠΈΠΌΠ°Π΅Ρ‚ 700 — 1000 страниц, Π° Ρ‚Π°ΠΊΠΆΠ΅ ΠΈΠ·ΡƒΡ‡Π°Ρ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅. ΠŸΠΎΡΡ‚ΠΎΠΌΡƒ для ΠΎΠΏΡ‚ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΠΈ процСсса Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ устройств Π½Π° Π±Π°Π·Π΅ соврСмСнных ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΡƒΡŽΡ‚ΡΡ ΠΎΡ‚Π»Π°Π΄ΠΎΡ‡Π½Ρ‹Π΅ комплСксы, Π² ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Π²ΠΎΠΏΠ»ΠΎΡ‰Π΅Π½Ρ‹ всС возмоТности ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² Π½Π° Ρ„изичСском ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠΌ ΡƒΡ€ΠΎΠ²Π½Π΅. ИмСя Ρ‚Π°ΠΊΠΎΠΉ комплСкс Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Ρ‡ΠΈΠΊΡƒ Π½Π΅ Π½Π°Π΄ΠΎ Ρ€Π°ΡΠΏΡ‹Π»ΡΡ‚ΡŒΡΡ Π½Π° ΠΈΠ·ΡƒΡ‡Π΅Π½ΠΈΠ΅ ΠΎΠ³Ρ€ΠΎΠΌΠ½ΠΎΠ³ΠΎ количСства ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ, ΠΊΠ°ΠΊ ΠΏΠΎ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠΉ, Ρ‚Π°ΠΊ ΠΈ ΠΏΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠΉ части, Π° Π½ΡƒΠΆΠ½ΠΎ лишь ΡΠΊΠΎΠ½Ρ†Π΅Π½Ρ‚Ρ€ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒΡΡ Π½Π° Ρ€Π΅ΡˆΠ΅Π½ΠΈΠΈ своСй Π·Π°Π΄Π°Ρ‡ΠΈ. Π’Π°ΠΊΠΎΠΉ ΠΌΠ΅Ρ‚ΠΎΠ΄ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ являСтся Π·Π°Ρ‡Π°ΡΡ‚ΡƒΡŽ быстрСС, экономичнСС ΠΈ Π½Π°Π΄Π΅ΠΆΠ½Π΅Π΅.

ЦСлью Π΄Π°Π½Π½ΠΎΠΉ Π΄ΠΈΠΏΠ»ΠΎΠΌΠ½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹ являСтся ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎ-Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠ³ΠΎ комплСкса (ПАК) для облСгчСния процСсса ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ устройств Π½Π° Π±Π°Π·Π΅ микропроцСссора AT91SAM9260. ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€, основанный Π½Π° ΡΠ΄Ρ€Π΅ ARM9, являСтся ΠΎΠ΄Π½ΠΈΠΌ ΠΈΠ· ΡΠ°ΠΌΡ‹Ρ… соврСмСнных ΠΈ ΠΈΠΌΠ΅Π΅Ρ‚ ΠΎΠ³Ρ€ΠΎΠΌΠ½Ρ‹ΠΉ Π½Π°Π±ΠΎΡ€ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΈ ΠΈ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ.

1 Анализ тСхничСского задания

ΠŸΡ€ΠΈ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ΅ устройства Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ Π² ΠΏΠ΅Ρ€Π²ΡƒΡŽ ΠΎΡ‡Π΅Ρ€Π΅Π΄ΡŒ ΡΡ„ΠΎΡ€ΠΌΡƒΠ»ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ трСбования, ΠΏΡ€Π΅Π΄ΡŠΡΠ²Π»ΡΠ΅ΠΌΡ‹Π΅ ΠΊ Π½Π΅ΠΌΡƒ, ΠΏΡ€ΠΎΠ°Π½Π°Π»ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π΄ΠΎΠ»ΠΆΠ΅Π½ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎ-Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹ΠΉ комплСкс. Π’ Π΄Π°Π½Π½ΠΎΠΌ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅ прСдстоит Ρ€Π΅ΡˆΠΈΡ‚ΡŒ комплСкс тСхничСских Π·Π°Π΄Π°Ρ‡, Ρ‚Π°ΠΊΠΈΡ… ΠΊΠ°ΠΊ обСспСчСниС устройством выполнСния заявлСнных Π² Ρ‚СхничСском Π·Π°Π΄Π°Π½ΠΈΠ΅ Ρ‚Ρ€Π΅Π±ΠΎΠ²Π°Π½ΠΈΠΉ, обСспСчСниС ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰Π΅Π³ΠΎ удобства эксплуатации, ΠΏΡ€ΠΈ этом Π½Π΅ ΠΏΡ€Π΅Π½Π΅Π±Ρ€Π΅Π³Π°Ρ тСхничСскими ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌΠΈ, Ρ‚. Π΅. ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ Π½Π΅ Π² ΡƒΡ‰Π΅Ρ€Π± Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ.

Основной ΡƒΠΏΠΎΡ€ Π² Π΄Π°Π½Π½ΠΎΠΉ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ΅ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΡΠ΄Π΅Π»Π°Ρ‚ΡŒ Π½Π° Ρ‚ΠΎΠΏΠΎΠ»ΠΎΠ³ΠΈΡŽ ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ°Π»Π°Ρ‚Ρ‹ ΠΈ Π³Ρ€Π°ΠΌΠΎΡ‚Π½Ρ‹ΠΉ ΠΏΠΎΠ΄Π±ΠΎΡ€ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², Ρ‚.ΠΊ. ΠΏΠ»Π°Π½ΠΈΡ€ΡƒΠ΅ΠΌΠΎΠ΅ прСимущСство устройства Π½Π°Π΄ Π°Π½Π°Π»ΠΎΠ³Π°ΠΌΠΈ Π² ΡƒΠ΄ΠΎΠ±ΡΡ‚Π²Π΅ использования ΠΈ ΠΌΠ΅Π½ΡŒΡˆΠ΅ΠΉ стоимости. Π’ ΡΠΈΠ»Ρƒ Ρ‚ΠΎΠ³ΠΎ Ρ‡Ρ‚ΠΎ Π² ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΡƒΠ΅ΠΌΠΎΠΌ устройствС планируСтся совмСщСниС большого количСства Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Ρ… возмоТностСй, Ρ‚ΠΎ Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΡ‡Π½Ρ‹ΠΌ Ρ€Π΅ΡˆΠ΅Π½ΠΈΠ΅ΠΌ являСтся Ρ€Π°Π·Π΄Π΅Π»Π΅Π½ΠΈΠ΅ устройства Π½Π° Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Π΅ Π±Π»ΠΎΠΊΠΈ для увСличСния рСмонтопригодности ΠΈ ΡƒΠΏΡ€ΠΎΡ‰Π΅Π½ΠΈΡ этапа ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ, ΠΊΠΎΠ³Π΄Π° ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎ Ρ€Π°Π·Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°ΡŽΡ‚ΡΡ ΠΈ ΠΎΡ‚Π»Π°ΠΆΠΈΠ²Π°ΡŽΡ‚ΡΡ нСсколько Π²Π°Ρ€ΠΈΠ°Π½Ρ‚ΠΎΠ² ΡƒΠ·Π»ΠΎΠ² ΠΈ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚ΡŒΡΡ тСстированиС ΠΊΠ°ΠΆΠ΄ΠΎΠ³ΠΎ ΠΈΠ· Π½ΠΈΡ… ΠΊΠ°ΠΊ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½ΠΎ, Ρ‚Π°ΠΊ ΠΈ Π² ΠΊΠΎΠΌΠΏΠ»Π΅ΠΊΡΠ΅. Как ΠΏΠΎΠΊΠ°Π·Ρ‹Π²Π°Π΅Ρ‚ соврСмСнная ΠΏΡ€Π°ΠΊΡ‚ΠΈΠΊΠ° ΠΌΠ½ΠΎΠ³ΠΈΠ΅ ΡƒΠ·Π»Ρ‹ ΠΌΠΎΠΆΠ½ΠΎ приобрСсти ΡƒΠΆΠ΅ Π² Π·Π°ΠΊΠΎΠ½Ρ‡Π΅Π½Π½ΠΎΠΌ Π²ΠΈΠ΄Π΅, Π·Π°Ρ‡Π°ΡΡ‚ΡƒΡŽ это Π½Π΅ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΡƒΠ΄ΠΎΠ±Π½Π΅Π΅ ΠΈ Π±Ρ‹ΡΡ‚Ρ€Π΅Π΅, Ρ‡Π΅ΠΌ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ с «Π½ΡƒΠ»Ρ», Π½ΠΎ ΠΈ Π·Π°Ρ‡Π°ΡΡ‚ΡƒΡŽ дСшСвлС Π² ΡΠΈΠ»Ρƒ массовости производства Π³ΠΎΡ‚ΠΎΠ²Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ². Π’Π°ΠΊΠΈΠ΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ проходят всСстороннСС тСстированиС ΠΈ ΠΏΡ€ΠΈΡΡƒΡ‚ствиС Π½Π° ΠΎΡ‚СчСствСнном ΠΈ ΠΌΠΈΡ€ΠΎΠ²ΠΎΠΌ Ρ€Ρ‹Π½ΠΊΠ΅ большой Π½ΠΎΠΌΠ΅Π½ΠΊΠ»Π°Ρ‚ΡƒΡ€Ρ‹, позволяСт Π²Ρ‹Π±Ρ€Π°Ρ‚ΡŒ Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ подходящий ΠΏΠΎ Π²ΡΠ΅ΠΌ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌ ΡƒΠ·Π΅Π».

ΠŸΡ€ΠΈ Π²Ρ‹Π±ΠΎΡ€Π΅ тСхнологичСских Ρ€Π΅ΡˆΠ΅Π½ΠΈΠΉ стоит Ρ€ΡƒΠΊΠΎΠ²ΠΎΠ΄ΡΡ‚Π²ΠΎΠ²Π°Ρ‚ΡŒΡΡ Ρ‚Π°ΠΊΠΈΠΌΠΈ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌΠΈ, ΠΊΠ°ΠΊ Π²Π·Π°ΠΈΠΌΠΎΠ·Π°ΠΌΠ΅Π½ΡΠ΅ΠΌΠΎΡΡ‚ΡŒ, Π΄ΠΎΡΡ‚ΡƒΠΏΠ½ΠΎΡΡ‚ΡŒ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π½Π° Ρ€Ρ‹Π½ΠΊΠ΅, Ρ€Π΅ΠΌΠΎΠ½Ρ‚ΠΎΠΏΡ€ΠΈΠ³ΠΎΠ΄Π½ΠΎΡΡ‚ΡŒ, Π»Π΅Π³ΠΊΠΎΡΡ‚ΡŒ ΠΈ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎΠΉ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ.

ΠŸΡ€ΠΈ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ΅ ПАК слСдуСт Π·Π°Π΄Π΅ΠΉΡΡ‚Π²ΠΎΠ²Π°Ρ‚ΡŒ ΠΊΠ°ΠΊ ΠΌΠΎΠΆΠ½ΠΎ большС возмоТностСй микропроцСссора AT91SAM9260, (Ρ‡Ρ‚ΠΎ нСсомнСнно Π±ΡƒΠ΄Π΅Ρ‚ плюсом ΠΏΡ€ΠΈ ΠΎΡ‚Π»Π°Π΄ΠΊΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ обСспСчСния) это Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ для Π±ΠΎΠ»Π΅Π΅ ΠΏΠΎΠ»Π½ΠΎΠ³ΠΎ использования Π΅Π³ΠΎ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ ΠΈ ΠΌΠΎΡ‰Π½ΠΎΡΡ‚Π΅ΠΉ.

Π’Π°ΠΊΠΆΠ΅ Π² ΡΠΎΠΎΡ‚вСтствии с Ρ‚СхничСским Π·Π°Π΄Π°Π½ΠΈΠ΅ΠΌ Π² ΠŸΠΠš Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ Ρ€Π°Π·ΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ устройства:

Β· ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ интСрфСйс RS 232

Β· ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ интСрфСйс USB

Β· сСтСвой интСрфСйс Ethernet 10BASE-T/100/10BASE-TX

Β· ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ интСрфСйс 485

Для Ρ€Π°Π±ΠΎΡ‚Ρ‹ ΠΏΠ»Π°Ρ‚Ρ‹ Π² ΡΠΎΠΎΡ‚вСтствии с Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡ‹ΠΌΠΈ трСбованиями, трСбуСтся Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ΅ обСспСчСниС для ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° ΠΏΠ»Π°Ρ‚Ρ‹. ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ΅ обСспСчСниС ΠΌΠΎΠΆΠ΅Ρ‚ Ρ…Ρ€Π°Π½ΠΈΡ‚ΡŒΡΡ Π½Π° FLASHмикросхСмах памяти, Π½ΠΎ Ρ†Π΅Π»Π΅ΡΠΎΠΎΠ±Ρ€Π°Π·Π½Π΅Π΅ Ρ…Ρ€Π°Π½Π΅Π½ΠΈΠ΅ Π΅Π³ΠΎ Π² ΠŸΠ—Π£, Ρ‚Π°ΠΊ ΠΊΠ°ΠΊ использованиС flash — носитСлСй ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΏΠΎΠ΄Ρ€Π°Π·ΡƒΠΌΠ΅Π²Π°Π΅Ρ‚ Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ устройства для считывания flash-ΠΊΠ°Ρ€Ρ‚. Бпособ хранСния ΠΌΠΈΠΊΡ€ΠΎΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π² ΠŸΠ—Π£ сущСствСнно дСшСвлС ΠΈ ΠΏΡ€ΠΎΡ‰Π΅ Π² ΠΊΠΎΠ½ΡΡ‚Ρ€ΡƒΠΊΡ†ΠΈΠΈ ΠΈ ΠΌΠΎΠ½Ρ‚Π°ΠΆΠ΅.

ИздСлиС Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΈΠ·Π³ΠΎΡ‚ΠΎΠ²ΠΈΡ‚ΡŒ Π² ΡΠΎΠΎΡ‚вСтствии с Π³Ρ€ΡƒΠΏΠΏΠΎΠΉ М3 условий эксплуатации Π“ΠžΠ‘Π’ 17 516–72 ΠΈ Π³Ρ€ΡƒΠΏΠΏΠ°ΠΌΠΈ АА6, АВ6, АЕ4, АК1, АМ1, АR2 Π²Π½Π΅ΡˆΠ½ΠΈΡ… Π²ΠΎΠ·Π΄Π΅ΠΉΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΡ… Ρ„Π°ΠΊΡ‚ΠΎΡ€ΠΎΠ² ΠΎΠΊΡ€ΡƒΠΆΠ°ΡŽΡ‰Π΅ΠΉ срСды Π“ΠžΠ‘Π’ 15 150–69. Π’ΠΎ Π΅ΡΡ‚ΡŒ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΡ‚ΡŒ соотвСтствиС издСлия ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠΌ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌ:

Β· Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Π° ΠΎΠΊΡ€ΡƒΠΆΠ°ΡŽΡ‰Π΅ΠΉ срСды ΠΎΡ‚ +10 Π΄ΠΎ +55 Ρ”Π‘ Π”Π°Π½Π½ΠΎΠ΅ Ρ‚Ρ€Π΅Π±ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΌΠΎΠΆΠ½ΠΎ ΡƒΠ΄ΠΎΠ²Π»Π΅Ρ‚Π²ΠΎΡ€ΠΈΡ‚ΡŒ, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡ микросхСмы ΠΌΠ°Π»ΠΎΠΉ мощности, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΠΈΡΠΊΠ»ΡŽΡ‡ΠΈΡ‚ΡŒ рассСиваниС большого количСства Ρ‚Π΅ΠΏΠ»ΠΎΡ‚Ρ‹ Π² ΠΎΠΊΡ€ΡƒΠΆΠ°ΡŽΡ‰ΡƒΡŽ атмосфСру.

Β· Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½ частот Π²ΠΈΠ±Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Ρ… Π½Π°Π³Ρ€ΡƒΠ·ΠΎΠΊ 1 — 35 Π“Ρ†

Β· максимальноС ΡƒΠ΄Π°Ρ€Π½ΠΎΠ΅ ускорСниС 2g

ПАК — это стационарная Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Π°, Π½Π΅ ΠΈΡΠΏΡ‹Ρ‚Ρ‹Π²Π°ΡŽΡ‰Π°Ρ высоких Π½Π°Π³Ρ€ΡƒΠ·ΠΎΠΊ Π²ΠΎ Π²Ρ€Π΅ΠΌΡ эксплуатации.

Β· Π’ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ… «Π»Π΅Π³ΠΊΠ°Ρ ΠΏΡ‹Π»ΡŒ», Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ ΠΏΡ€Π΅Π΄ΡƒΡΠΌΠΎΡ‚Ρ€Π΅Ρ‚ΡŒ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Наличия Π»Π΅Π³ΠΊΠΈΡ… ΠΎΡ‚Π»ΠΎΠΆΠ΅Π½ΠΈΠΉ ΠΏΡ‹Π»ΠΈ Π² ΠΊΠΎΠ»ΠΈΡ‡Π΅ΡΡ‚Π²Π΅ Π±ΠΎΠ»Π΅Π΅ 10, Π½ΠΎ ΠΌΠ΅Π½Π΅Π΅ 35 ΠΌΠ³/(ΠΌ2Β· сут).

Β· Π’ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ…, Π² ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… количСство ΠΈΠ»ΠΈ Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€ ΠΊΠΎΡ€Ρ€ΠΎΠ·ΠΈΠΎΠ½Π½ΠΎ-Π°ΠΊΡ‚ΠΈΠ²Π½Ρ‹Ρ… ΠΈ Π·Π°Π³Ρ€ΡΠ·Π½ΡΡŽΡ‰ΠΈΡ… вСщСств Π½Π΅ ΡΡƒΡ‰Π΅ΡΡ‚Π²Π΅Π½Π½ΠΎ.

Однако всС ΠΆΠ΅ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΡ‚ΡŒ ΠΏΠΎΠΊΡ€Ρ‹Ρ‚ΠΈΠ΅ ΠΏΠ»Π°Ρ‚Ρ‹ Π°Π½Ρ‚ΠΈΠΊΠΎΡ€Ρ€ΠΎΠ·ΠΈΠΎΠ½Π½Ρ‹ΠΌΠΈ покрытиями Π²ΠΎ ΠΈΠ·Π±Π΅ΠΆΠ°Π½ΠΈΠ΅ ΠΏΡ€Π΅ΠΆΠ΄Π΅Π²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠ³ΠΎ старСния мСталличСских ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ² ΠΈ ΠΏΠΎΠ²Π΅Ρ€Ρ…ностСй устройства.

Β· Π’ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ… нСопасного воздСйствия плСсСни, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ Π² ΠΏΠΎΠΌΠ΅Ρ‰Π΅Π½ΠΈΡΡ…, Π³Π΄Π΅ обСспСчиваСтся отсутствиС опасности ΠΈΠ·-Π·Π° Ρ€Π°ΡΡ‚ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ ΠΈ/ΠΈΠ»ΠΈ плСсСни.

Однако всС ΠΆΠ΅ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΡ‚ΡŒ ΠΏΠΎΠΊΡ€Ρ‹Ρ‚ΠΈΠ΅ ΠΏΠ»Π°Ρ‚Ρ‹ покрытиями, ΠΏΡ€Π΅Π΄ΠΎΡ‚Π²Ρ€Π°Ρ‰Π°ΡŽΡ‰ΠΈΠΌΠΈ ΠΏΡ€ΠΎΠ½ΠΈΠΊΠ½ΠΎΠ²Π΅Π½ΠΈΠ΅ плСсСни Π½Π° ΠΏΠΎΠ²Π΅Ρ€Ρ…Π½ΠΎΡΡ‚ΡŒ стСклотСкстолита ΠΈ ΠΏΠΎΠ²Ρ€Π΅ΠΆΠ΄Π΅Π½ΠΈΠ΅ всСй ΠΈΠ»ΠΈ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹Ρ… элСмСнтов ΠΏΠ»Π°Ρ‚Ρ‹.

Β· Π’ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ… Π½Π΅Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ³ΠΎ элСктромагнитного, элСктростатичСского ΠΈ ΠΈΠΎΠ½ΠΈΠ·ΠΈΡ€ΡƒΡŽΡ‰Π΅Π³ΠΎ воздСйствия. Π’ΠΎ Π΅ΡΡ‚ΡŒ эксплуатация ПАК Π±ΡƒΠ΄Π΅Ρ‚ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚ΡŒΡΡ Π² ΠΏΠΎΠΌΠ΅Ρ‰Π΅Π½ΠΈΡΡ…, Π³Π΄Π΅ Π³Π°Ρ€Π°Π½Ρ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΎ отсутствиС Π²Ρ€Π΅Π΄Π½ΠΎΠ³ΠΎ воздСйствия ΠΎΡ‚ Π±Π»ΡƒΠΆΠ΄Π°ΡŽΡ‰ΠΈΡ… Ρ‚ΠΎΠΊΠΎΠ², элСктромагнитного излучСния, элСктростатичСских ΠΏΠΎΠ»Π΅ΠΉ, ΠΈΠΎΠ½ΠΈΠ·ΠΈΡ€ΡƒΡŽΡ‰Π΅Π³ΠΎ излучСния.

Β· Π’ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² ΠΏΠΎΠΌΠ΅Ρ‰Π΅Π½ΠΈΡΡ… с Π½ΠΈΠ·ΠΊΠΈΠΌ Π΄Π²ΠΈΠΆΠ΅Π½ΠΈΠ΅ΠΌ Π²ΠΎΠ·Π΄ΡƒΡ…Π°, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ Π΄Π²ΠΈΠΆΠ΅Π½ΠΈΠ΅ΠΌ Π²ΠΎΠ·Π΄ΡƒΡ…Π° со ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒΡŽ ΠΌΠ΅Π½Π΅Π΅ 1 ΠΌ/с.

Π”Π°Π½Π½ΠΎΠ΅ Ρ‚Ρ€Π΅Π±ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΌΠΎΠΆΠ½ΠΎ ΡƒΠ΄ΠΎΠ²Π»Π΅Ρ‚Π²ΠΎΡ€ΠΈΡ‚ΡŒ, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡ микросхСмы ΠΌΠ°Π»ΠΎΠΉ мощности, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΠΈΡΠΊΠ»ΡŽΡ‡ΠΈΡ‚ΡŒ рассСиваниС большого количСства Ρ‚Π΅ΠΏΠ»ΠΎΡ‚Ρ‹ Π² ΠΎΠΊΡ€ΡƒΠΆΠ°ΡŽΡ‰ΡƒΡŽ срСду.

2 Анализ возмоТностСй процСссора

ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ AT91SAM9260 Π½Π° Π±Π°Π·Π΅ ядра ARM9 являСтся ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠΌ ΠΊΠΎΡ€ΠΏΠΎΡ€Π°Ρ†ΠΈΠΈ Atmel. Π­Ρ‚ΠΎ ΠΏΠ΅Ρ€Π²Ρ‹ΠΉ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΈΠ· ΡΠ΅Ρ€ΠΈΠΈ SAM9. Π˜ΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ Π² ΡΠΈΡΡ‚Π΅ΠΌΠ°Ρ… Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΏΠΎΠ΄ ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ΠΌ ΠžΠ‘ Linux ΠΈ Windows CE. AT91SAM9260 основан Π½Π° ΡΠ΄Ρ€Π΅ ARM926EJ-S, Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‰Π΅ΠΌ Π½Π° Ρ‡Π°ΡΡ‚ΠΎΡ‚Π΅ 190 ΠœΠ“Ρ† ΠΈ ΠΎΠ±ΠΎΡ€ΡƒΠ΄ΠΎΠ²Π°Π½Π½ΠΎΠΌ 8 Кб ΠΊΡΡˆΠ° инструкций ΠΈ 8 Кб ΠΊΡΡˆΠ° Π΄Π°Π½Π½Ρ‹Ρ…. Для ΡƒΠΌΠ΅Π½ΡŒΡˆΠ΅Π½ΠΈΡ количСства Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² интСрфСйсы ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… устройств AT91SAM9260 ΠΌΡƒΠ»ΡŒΡ‚ΠΈΠΏΠ»Π΅ΠΊΡΠΈΡ€ΠΎΠ²Π°Π½Ρ‹ Π½Π° Ρ‚Ρ€ΠΈ 32-Π±ΠΈΡ‚Π½Ρ‹Ρ… ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π°. 20]

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° AT91SAM9260.

Β· Π‘ΠΎΠ΄Π΅Ρ€ΠΆΠΈΡ‚ процСссор ARM926EJ-S ARM Thumb

o Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠ΅ инструкций для Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ, тСхнология ARM Jazelle для ускорСния выполнСния Java-ΠΏΡ€ΠΈΠ»ΠΎΠΆΠ΅Π½ΠΈΠΉ

o 8 ΠΊΠ±Π°ΠΉΡ‚ кэш-памяти Π΄Π°Π½Π½Ρ‹Ρ…, 8 ΠΊΠ±Π°ΠΉΡ‚ кэш-памяти инструкций, Π±ΡƒΡ„Π΅Ρ€ записи

o ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ 200 ΠΌΠΈΠ»Π»ΠΈΠΎΠ½ΠΎΠ² ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΉ Π² ΡΠ΅ΠΊΡƒΠ½Π΄Ρƒ Π½Π° Ρ‡Π°ΡΡ‚ΠΎΡ‚Π΅ 180 ΠœΠ“Ρ†

o Π±Π»ΠΎΠΊ управлСния ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ

o ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° ΠΎΡ‚Π»Π°Π΄ΠΎΡ‡Π½ΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΌΡƒΠ½ΠΈΠΊΠ°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ³ΠΎ ΠΊΠ°Π½Π°Π»Π° EmbeddedICE

Β· Π”ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Π°Ρ встроСнная ΠΏΠ°ΠΌΡΡ‚ΡŒ

o ΠΎΠ΄Π½ΠΎ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅Π΅ ΠŸΠ—Π£ Ρ€Π°Π·ΠΌΠ΅Ρ€ΠΎΠΌ 32 ΠΊΠ±Π°ΠΉΡ‚ с ΠΎΠ΄Π½ΠΎΡ‚Π°ΠΊΡ‚Π½Ρ‹ΠΌ доступом Π½Π° ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½ΠΎΠΉ частотС

o Π΄Π²Π° Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… статичСских ΠžΠ—Π£ Ρ€Π°Π·ΠΌΠ΅Ρ€ΠΎΠΌ 4 ΠΊΠ±Π°ΠΉΡ‚ с ΠΎΠ΄Π½ΠΎΡ‚Π°ΠΊΡ‚Π½Ρ‹ΠΌ доступом Π½Π° ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½ΠΎΠΉ частотС

Β· Π’Π½Π΅ΡˆΠ½ΠΈΠΉ ΡˆΠΈΠ½Π½Ρ‹ΠΉ интСрфСйс (EBI)

o ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° SDRAM, статичСской памяти, NAND-Ρ„Π»ΡΡˆ-памяти (с Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠ΅ΠΉ ECC) ΠΈ CompactFlash

Β· ΠŸΠΎΠ»Π½ΠΎΡΠΊΠΎΡ€ΠΎΡΡ‚Π½ΠΎΠΉ ΠΏΠΎΡ€Ρ‚ устройства USB 2.0 (12 ΠœΠ±ΠΈΡ‚/сСк)

o Π²ΡΡ‚Ρ€ΠΎΠ΅Π½Π½Ρ‹ΠΉ трансивСр, 2432 Π±Π°ΠΉΡ‚ встроСнного ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€ΠΈΡ€ΡƒΠ΅ΠΌΠΎΠ³ΠΎ Π΄Π²ΡƒΡ…ΠΏΠΎΡ€Ρ‚ΠΎΠ²ΠΎΠ³ΠΎ ΠžΠ—Π£

Β· ΠŸΠΎΠ»Π½ΠΎΡΠΊΠΎΡ€ΠΎΡΡ‚Π½ΠΎΠΉ ΠΎΠ΄ΠΈΠ½ΠΎΡ‡Π½Ρ‹ΠΉ хост-ΠΏΠΎΡ€Ρ‚ USB 2.0 (12 ΠœΠ±ΠΈΡ‚/сСк) Π² 208-Π²Ρ‹Π²ΠΎΠ΄Π½ΠΎΠΌ корпусС PQFP ΠΈ ΡΠ΄Π²ΠΎΠ΅Π½Π½Ρ‹ΠΉ ΠΏΠΎΡ€Ρ‚ Π² 217-Π²Ρ‹Π²ΠΎΠ΄Π½ΠΎΠΌ корпусС LFBGA

o ΠΎΠ΄ΠΈΠ½ ΠΈΠ»ΠΈ Π΄Π²Π° встроСнных трансивСра

o Π²ΡΡ‚Ρ€ΠΎΠ΅Π½Π½Ρ‹Π΅ Π±ΡƒΡ„Π΅Ρ€Ρ‹ FIFo ΠΈ Π²Ρ‹Π΄Π΅Π»Π΅Π½Π½Ρ‹Π΅ ΠΊΠ°Π½Π°Π»Ρ‹ ΠŸΠ”ΠŸ

Β· Ethernet MAC 10/100 Base T

o ΠΌΠ΅Π΄ΠΈΠ°-нСзависимый интСрфСйс MII ΠΈΠ»ΠΈ RMII

o 28-Π±Π°ΠΉΡ‚Π½Ρ‹Π΅ Π±ΡƒΡ„Π΅Ρ€Ρ‹ FIFO ΠΈ Π²Ρ‹Π΄Π΅Π»Π΅Π½Π½Ρ‹Π΅ ΠΊΠ°Π½Π°Π»Ρ‹ ΠŸΠ”ΠŸ для ΠΏΡ€ΠΈΠ΅ΠΌΠ° ΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ

Β· Π˜Π½Ρ‚Π΅Ρ€Ρ„Π΅ΠΉΡ ΠΏΡ€ΠΈΠ΅ΠΌΠ½ΠΈΠΊΠ° изобраТСния

o Π²Π½Π΅ΡˆΠ½ΠΈΠΉ интСрфСйс ITU-R BT. 601/656, программируСмая кадровая частота Π·Π°Ρ…Π²Π°Ρ‚Π° изобраТСния

o 12-разрядный интСрфСйс Π΄Π°Π½Π½Ρ‹Ρ… для ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠΈ Π²Ρ‹ΡΠΎΠΊΠΎΡ‡ΡƒΠ²ΡΡ‚Π²ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠΎΠ²

o Π‘инхронизация SAV ΠΈ EAV, ΠΏΡ€Π΅Π΄Π²Π°Ρ€ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ просмотр с ΠΌΠ°ΡΡˆΡ‚Π°Π±ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ, Ρ„ΠΎΡ€ΠΌΠ°Ρ‚ YCbCr

Β· Шинная ΠΌΠ°Ρ‚Ρ€ΠΈΡ†Π°

o ΡˆΠ΅ΡΡ‚ислойная 32-разрядная ΠΌΠ°Ρ‚Ρ€ΠΈΡ†Π°

o ΠΎΠΏΡ†ΠΈΡ Π²Ρ‹Π±ΠΎΡ€Π° Π·Π°Π³Ρ€ΡƒΠ·ΠΎΡ‡Π½ΠΎΠ³ΠΎ Ρ€Π΅ΠΆΠΈΠΌΠ°, ΠΊΠΎΠΌΠ°Π½Π΄Π° пСрСраспрСдСлСния ΠΊΠ°Ρ€Ρ‚Ρ‹ памяти (Remap)

Β· ΠŸΠΎΠ»Π½ΠΎΡ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹ΠΉ систСмный ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€, Π² Ρ‚. Ρ‡.

o ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ сброса, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ Π²Ρ‹ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ

o Ρ‡Π΅Ρ‚Ρ‹Ρ€Π΅ 32-разрядных рСгистра с Ρ€Π΅Π·Π΅Ρ€Π²Π½Ρ‹ΠΌ Π±Π°Ρ‚Π°Ρ€Π΅ΠΉΠ½Ρ‹ΠΌ ΠΏΠΈΡ‚Π°Π½ΠΈΠ΅ΠΌ (16 Π±Π°ΠΉΡ‚)

o Ρ‚Π°ΠΊΡ‚ΠΎΠ²Ρ‹ΠΉ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ ΠΈ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ управлСния ΠΏΠΈΡ‚Π°Π½ΠΈΠ΅ΠΌ

o Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½Π½Ρ‹ΠΉ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ ΠΈ Π±Π»ΠΎΠΊ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ

o Ρ‚Π°ΠΉΠΌΠ΅Ρ€ пСриодичСских ΠΈΠ½Ρ‚Π΅Ρ€Π²Π°Π»ΠΎΠ², стороТСвой Ρ‚Π°ΠΉΠΌΠ΅Ρ€ ΠΈ Ρ‚Π°ΠΉΠΌΠ΅Ρ€ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ

Β· ΠšΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ сброса (RSTC)

o ΡΡ…Π΅ΠΌΠ° сброса ΠΏΡ€ΠΈ ΠΏΠΎΠ΄Π°Ρ‡Π΅ питания, идСнтификация источника сброса ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄ сброса

Β· Π’Π°ΠΊΡ‚ΠΎΠ²Ρ‹ΠΉ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ (CKGR)

o Π²Ρ‹Π±ΠΎΡ€ΠΎΡ‡Π½Ρ‹ΠΉ ΠΌΠ°Π»ΠΎΠΌΠΎΡ‰Π½Ρ‹ΠΉ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ 32 768 Π“Ρ† ΠΈΠ»ΠΈ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΠΉ ΠΌΠ°Π»ΠΎΠΌΠΎΡ‰Π½Ρ‹ΠΉ RC-Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€, ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½Π½Ρ‹ΠΉ ΠΊ Ρ€Π΅Π·Π΅Ρ€Π²Π½ΠΎΠΌΡƒ Π±Π°Ρ‚Π°Ρ€Π΅ΠΉΠ½ΠΎΠΌΡƒ ΠΏΠΈΡ‚Π°Π½ΠΈΡŽ

o Π²ΡΡ‚Ρ€ΠΎΠ΅Π½Π½Ρ‹ΠΉ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ 3…20 ΠœΠ“Ρ†, ΠΎΠ΄Π½Π° схСма ЀАПЧ с Ρ‡Π°ΡΡ‚ΠΎΡ‚ΠΎΠΉ Π΄ΠΎ 240 ΠœΠ“Ρ† ΠΈ ΠΎΠ΄Π½Π° схСма ЀАПЧ с Ρ‡Π°ΡΡ‚ΠΎΡ‚ΠΎΠΉ Π΄ΠΎ 130 ΠœΠ“Ρ†

Β· ΠšΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ управлСния ΠΏΠΈΡ‚Π°Π½ΠΈΠ΅ΠΌ (PMC)

o Ρ€Π΅ΠΆΠΈΠΌ Ρ€Π°Π±ΠΎΡ‚Ρ‹ с ΠΎΡ‡Π΅Π½ΡŒ Π½ΠΈΠ·ΠΊΠΎΠΉ частотой синхронизации, возмоТности ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠΉ ΠΎΠΏΡ‚ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΠΈ потрСбляСмой мощности

o Π΄Π²Π° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… сигнала внСшнСй синхронизации

Β· Π Π°ΡΡˆΠΈΡ€Π΅Π½Π½Ρ‹ΠΉ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ (AIC)

o ΠΈΠ½Π΄ΠΈΠ²ΠΈΠ΄ΡƒΠ°Π»ΡŒΠ½ΠΎ-маскированныС, Π²Π΅ΠΊΡ‚ΠΎΡ€ΠΈΠ·ΠΎΠ²Π°Π½Π½Ρ‹Π΅ источники ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ с 8 уровнями ΠΏΡ€ΠΈΠΎΡ€ΠΈΡ‚Π΅Ρ‚ΠΎΠ²

o Ρ‚Ρ€ΠΈ источника Π²Π½Π΅ΡˆΠ½ΠΈΡ… ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ ΠΈ ΠΎΠ΄ΠΈΠ½ Π±Ρ‹ΡΡ‚Ρ€ΠΎΠ΄Π΅ΠΉΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠΉ источник прСрывания, Π·Π°Ρ‰ΠΈΡ‚Π° ΠΎΡ‚ Π»ΠΎΠΆΠ½Ρ‹Ρ… ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ

Β· Π‘Π»ΠΎΠΊ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ (DBGU)

o 2-ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΎΠΉ УАПП ΠΈ ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° ΠΎΡ‚Π»Π°Π΄ΠΎΡ‡Π½ΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΌΡƒΠ½ΠΈΠΊΠ°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ³ΠΎ ΠΊΠ°Π½Π°Π»Π°, ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΠΎΠ΅ ΠΏΡ€Π΅Π΄ΠΎΡ‚Π²Ρ€Π°Ρ‰Π΅Π½ΠΈΠ΅ доступа Π’Π‘Π­

Β· Π’Π°ΠΉΠΌΠ΅Ρ€ пСриодичСских ΠΈΠ½Ρ‚Π΅Ρ€Π²Π°Π»ΠΎΠ² (PIT)

o 20-разрядный ΠΈΠ½Ρ‚Π΅Ρ€Π²Π°Π»ΡŒΠ½Ρ‹ΠΉ Ρ‚Π°ΠΉΠΌΠ΅Ρ€ с 12-разрядным ΠΈΠ½Ρ‚Π΅Ρ€Π²Π°Π»ΡŒΠ½Ρ‹ΠΌ счСтчиком

Β· Π‘Ρ‚ΠΎΡ€ΠΎΠΆΠ΅Π²ΠΎΠΉ Ρ‚Π°ΠΉΠΌΠ΅Ρ€ (WDT)

o Π—Π°Ρ‰ΠΈΡ‰Π΅Π½Π½Ρ‹ΠΉ ΠΊΠ»ΡŽΡ‡ΠΎΠΌ, ΠΎΠ΄Π½ΠΎΠΊΡ€Π°Ρ‚Π½ΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹ΠΉ, ΠΎΠΊΠΎΠ½Π½Ρ‹ΠΉ 16-разрядный счСтчик, Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‰ΠΈΠΉ ΠΎΡ‚ Π½ΠΈΠ·ΠΊΠΎΡ‡Π°ΡΡ‚ΠΎΡ‚Π½Ρ‹ΠΉ синхронизации

Β· РСально-Π²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠΉ Ρ‚Π°ΠΉΠΌΠ΅Ρ€ (RTT)

o 32-разрядный счСтчик с 16-разрядным ΠΏΡ€Π΅Π΄Π²Π°Ρ€ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ Π΄Π΅Π»ΠΈΡ‚Π΅Π»Π΅ΠΌ ΠΈ Ρ€Π΅Π·Π΅Ρ€Π²Π½Ρ‹ΠΌ ΠΏΠΈΡ‚Π°Π½ΠΈΠ΅ΠΌ, Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‰ΠΈΠΉ ΠΎΡ‚ Π½ΠΈΠ·ΠΊΠΎΡ‡Π°ΡΡ‚ΠΎΡ‚Π½ΠΎΠΉ синхронизации

Β· Один 4-ΠΊΠ°Π½Π°Π»ΡŒΠ½Ρ‹ΠΉ 10-разрядный Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²ΠΎ-Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ ΠΏΡ€Π΅ΠΎΠ±Ρ€Π°Π·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒ

Β· Π’Ρ€ΠΈ 32-разрядных ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π° (ΠŸΠ’Π’ A, ΠŸΠ’Π’ B, ΠŸΠ’Π’ C)

o 96 ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… Π»ΠΈΠ½ΠΈΠΉ Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π°

o ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠ΅ ΠΏΡ€ΠΈ ΠΈΠ·ΠΌΠ΅Π½Π΅Π½ΠΈΠΈ состояния Π½Π° ΠΊΠ°ΠΆΠ΄ΠΎΠΉ Π»ΠΈΠ½ΠΈΠΈ Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π°

o ΠΈΠ½Π΄ΠΈΠ²ΠΈΠ΄ΡƒΠ°Π»ΡŒΠ½ΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ Π²Ρ‹Ρ…ΠΎΠ΄Π°: ΠΎΡ‚ΠΊΡ€Ρ‹Ρ‚Ρ‹ΠΉ сток, ΠΏΠΎΠ΄Ρ‚ΡΠ³ΠΈΠ²Π°ΡŽΡ‰ΠΈΠΉ рСзистор ΠΈ ΡΠΈΠ½Ρ…Ρ€ΠΎΠ½ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹ΠΉ Π²Ρ‹Ρ…ΠΎΠ΄

o ΡΠΈΠ»ΡŒΠ½ΠΎΡ‚ΠΎΡ‡Π½Ρ‹Π΅ Π»ΠΈΠ½ΠΈΠΈ Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π° с Π½Π°Π³Ρ€ΡƒΠ·ΠΎΡ‡Π½ΠΎΠΉ ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒΡŽ ΠΊΠ°ΠΆΠ΄ΠΎΠΉ Π΄ΠΎ 16 мА

Β· ΠšΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ прямого доступа ΠΊ ΠΏΠ°ΠΌΡΡ‚ΠΈ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… устройств (PDC)

Β· Один интСрфСйс двухслотной ΠΊΠ°Ρ€Ρ‚Ρ‹ MMC

o ΡΠΎΠ²ΠΌΠ΅ΡΡ‚ΠΈΠΌΠΎΡΡ‚ΡŒ с SDCard/SDIO ΠΈ MultiMediaCard

o Π°Π²Ρ‚оматичСскоС ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ ΠΏΡ€ΠΎΡ‚ΠΎΠΊΠΎΠ»ΠΎΠΌ ΠΈ Π±Ρ‹ΡΡ‚Ρ€ΠΎΠ΄Π΅ΠΉΡΡ‚Π²ΡƒΡŽΡ‰Π°Ρ автоматичСская ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡Π° Π΄Π°Π½Π½Ρ‹Ρ… Ρ‡Π΅Ρ€Π΅Π· PDC

Β· Один синхронный ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ (SSC)

o Ρ€Π°Π·Π΄Π΅Π»ΡŒΠ½Ρ‹Π΅ сигналы тактирования связи ΠΈ ΡΠΈΠ½Ρ…Ρ€ΠΎΠ½ΠΈΠ·Π°Ρ†ΠΈΠΈ ΠΊΠ°Π΄Ρ€Π° Π² ΠΏΡ€ΠΈΠ΅ΠΌΠ½ΠΈΠΊΠ΅ ΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ΅

o ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²ΠΎΠ³ΠΎ интСрфСйса I2S, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° ΠΌΡƒΠ»ΡŒΡ‚ΠΈΠΏΠ»Π΅ΠΊΡΠΈΡ€ΠΎΠ²Π°Π½ΠΈΡ с Ρ€Π°Π·Π΄Π΅Π»Π΅Π½ΠΈΠ΅ΠΌ ΠΏΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ

o Π²Ρ‹ΡΠΎΠΊΠΎΡΠΊΠΎΡ€ΠΎΡΡ‚ная нСпрСрывная ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡Π° 32-разрядных Π΄Π°Π½Π½Ρ‹Ρ…

Β· Π§Π΅Ρ‚Ρ‹Ρ€Π΅ ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Ρ… синхронных/асинхронных ΠΏΡ€ΠΈΠ΅ΠΌΠΎ-ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ° (УБАПП)

o ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΉ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ скорости связи, инфракрасная IrDA-модуляция/дСмодуляция, ΠœΠ°Π½Ρ‡Π΅ΡΡ‚Π΅Ρ€-ΠΊΠΎΠ΄ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅/Π΄Π΅ΠΊΠΎΠ΄ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅

o ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° смарт-ΠΊΠ°Ρ€Ρ‚ ISO7816 T0/T1, Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠ΅ ΠΏΠΎΠ΄Ρ‚Π²Π΅Ρ€ΠΆΠ΄Π΅Π½ΠΈΠ΅ связи, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° RS485

o ΠΏΠΎΠ»Π½Ρ‹ΠΉ ΠΌΠΎΠ΄Π΅ΠΌΠ½Ρ‹ΠΉ интСрфСйс Ρƒ Π£Π‘АПП0

Β· Π”Π²Π° 2-ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½Ρ‹Ρ… УАПП

Β· Π”Π²Π° ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… интСрфСйса (SPI) с ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠΎΠΉ Ρ€Π΅ΠΆΠΈΠΌΠΎΠ² Π²Π΅Π΄ΡƒΡ‰ΠΈΠΉ/ΠΏΠΎΠ΄Ρ‡ΠΈΠ½Π΅Π½Π½Ρ‹ΠΉ

o 8−16-разрядная программируСмая Π΄Π»ΠΈΠ½Π° Π΄Π°Π½Π½Ρ‹Ρ…, Ρ‡Π΅Ρ‚Ρ‹Ρ€Π΅ Π²Ρ‹Ρ…ΠΎΠ΄Π° Π²Ρ‹Π±ΠΎΡ€Π° микросхСм Π²Π½Π΅ΡˆΠ½ΠΈΡ… ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… устройств

o Π‘инхронная связь

Β· Π”Π²Π° Ρ‚Ρ€Π΅Ρ…ΠΊΠ°Π½Π°Π»ΡŒΠ½Ρ‹Ρ… 16-разрядных Ρ‚Π°ΠΉΠΌΠ΅Ρ€-счСтчика (Π’Π‘)

o Π’Ρ€ΠΈ Π²Ρ…ΠΎΠ΄Π° внСшнСй синхронизации, Π΄Π²Π΅ Π»ΠΈΠ½ΠΈΠΈ Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π° ΠΎΠ±Ρ‰Π΅Π³ΠΎ назначСния Π² ΠΊΠ°ΠΆΠ΄ΠΎΠΌ ΠΊΠ°Π½Π°Π»Π΅

o Π”Π²Π° ШИМ-ΠΊΠ°Π½Π°Π»Π°, Ρ€Π΅ΠΆΠΈΠΌ Π·Π°Ρ…Π²Π°Ρ‚Π°/Ρ„ΠΎΡ€ΠΌΠ° сигнала, Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ прямого ΠΈ ΠΎΠ±Ρ€Π°Ρ‚Π½ΠΎΠ³ΠΎ счСта

o Π’ысокая нагрузочная ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π°Ρ… TIOA0, TIOA1, TIOA2

Β· Один Π΄Π²ΡƒΡ…ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΎΠΉ интСрфСйс (TWI)

o Π Π΅ΠΆΠΈΠΌΡ‹ Ρ€Π°Π±ΠΎΡ‚Ρ‹: мастСр, ΠΌΡƒΠ»ΡŒΡ‚ΠΈΠΌΠ°ΡΡ‚Π΅Ρ€ ΠΈ ΠΏΠΎΠ΄Ρ‡ΠΈΠ½Π΅Π½Π½Ρ‹ΠΉ

o ΠŸΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° ΠΎΠ±Ρ‰Π΅Π³ΠΎ Π²Ρ‹Π·ΠΎΠ²Π° Π² ΠΏΠΎΠ΄Ρ‡ΠΈΠ½Π΅Π½Π½ΠΎΠΌ Ρ€Π΅ΠΆΠΈΠΌΠ΅

o ΠŸΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ ΠΊ ΠΊΠ°Π½Π°Π»Ρƒ PDC для ΠΎΠΏΡ‚ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ Π΄Π°Π½Π½Ρ‹Ρ… (Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π² Ρ€Π΅ΠΆΠΈΠΌΠ΅ мастСра)

Β· Π“Ρ€Π°Π½ΠΈΡ‡Π½ΠΎΠ΅ сканированиС ΠΏΠΎ ΡΡ‚Π°Π½Π΄Π°Ρ€Ρ‚Ρƒ IEEE 1149.1 JTAG Π½Π° Π²ΡΠ΅Ρ… Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… Π²Ρ‹Π²ΠΎΠ΄Π°Ρ…

Β· Π’Ρ€Π΅Π±ΡƒΠ΅ΠΌΡ‹Π΅ источники питания:

o 1.65Π’…1.95 Π’ для VDDBU, VDDCORE, VDDOSC ΠΈ VDDPLL

o 3.0Π’…3.6 Π’ для VDDIOP0, VDDIOP1 (Π²Π²ΠΎΠ΄-Π²Ρ‹Π²ΠΎΠ΄ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… устройств) ΠΈ VDDANA (Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²ΠΎ-Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ ΠΏΡ€Π΅ΠΎΠ±Ρ€Π°Π·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒ)

o ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ напряТСния ΠΎΡ‚ 1.65 Π’ Π΄ΠΎ 1.95 Π’ ΠΈΠ»ΠΈ ΠΎΡ‚ 3.0 Π’ Π΄ΠΎ 3.6 Π’ для VDDIOM (Π²Π²ΠΎΠ΄-Π²Ρ‹Π²ΠΎΠ΄ памяти)

Β· Π”ΠΎΡΡ‚ΡƒΠΏΠ½ΠΎΡΡ‚ΡŒ Π² 208-Π²Ρ‹Π²ΠΎΠ΄Π½ΠΎΠΌ корпусС PQFP ΠΈ 217-Π²Ρ‹Π²ΠΎΠ΄Π½ΠΎΠΌ корпусС LFBGA [24]

Рис 2.1. структурная схСма AT91SAM9260

3 ОписаниС Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠΉ схСмы ПАК

Рассмотрим ΠΎΠ±Ρ‰ΡƒΡŽ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΡƒΡŽ схСму ПАК, Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ ΠΏΠΎΠΊΠ°Π·Π°Π½Ρ‹ всС основныС Π±Π»ΠΎΠΊΠΈ ΠΈ ΠΏΡ€ΠΎΡ‚ΠΎΠΊΠΎΠ»Ρ‹ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΌΠ΅ΠΆΠ΄Ρƒ Π½ΠΈΠΌΠΈ. Π­Ρ‚Π° схСма прСдставлСна Π½Π° Ρ€ΠΈΡ. 3.1.

Рис. 3.1. Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Π°Ρ схСма ПАК ΠŸΡ€ΠΎΡ†Π΅ΡΡΠΎΡ€ ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½ для выполнСния вычислСний ΠΈ ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΡ интСрфСйсами ПАК ΠΈ ΡƒΠΏΡ€Π°Π²Π»ΡΠ΅Ρ‚ся ΠΌΠΈΠΊΡ€ΠΎΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΎΠΉ, Π·Π°Π»ΠΎΠΆΠ΅Π½Π½ΠΎΠΉ Π² Π²ΠΎΡΡŒΠΌΠΈΠ±ΠΈΡ‚Π½ΠΎΠΉ DATA FLASH. ΠžΠ—Π£ Π΄Π°Π½Π½ΠΎΠΉ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠΉ части Π³Ρ€ΡƒΠΏΠΏΠΎΠ²ΠΎΠ³ΠΎ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° выполняСт Π²ΡΠΏΠΎΠΌΠΎΠ³Π°Ρ‚Π΅Π»ΡŒΠ½ΡƒΡŽ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΡŽ хранСния ΠΏΡ€ΠΎΠΌΠ΅ΠΆΡƒΡ‚ΠΎΡ‡Π½Ρ‹Ρ… Π΄Π°Π½Π½Ρ‹Ρ…, ΠΏΠΎΠ»ΡƒΡ‡Π΅Π½Π½Ρ‹Ρ… Π² ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ процСссором. Nand Flash выполняСт Ρ„ΡƒΠ½ΠΊΡ†ΠΈΡŽ Π΄ΠΎΠ»Π³ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠ³ΠΎ хранСния Π΄Π°Π½Π½Ρ‹Ρ….

Π’Π°ΠΊ ΠΊΠ°ΠΊ процСссор AT91SAM9260 ΠΎΠ±Π»Π°Π΄Π°Π΅Ρ‚ большими Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹ΠΌΠΈ возмоТностями, Ρ‚ΠΎ Ρ€Π΅ΡˆΠ΅Π½ΠΎ Π΄ΠΎΠ±Π°Π²ΠΈΡ‚ΡŒ Π² ΡΡ…Π΅ΠΌΡƒ ПАК нСсколько ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… устройств ΠΏΠΎΠΌΠΈΠΌΠΎ заявлСнных Π² Ρ‚СхничСском Π·Π°Π΄Π°Π½ΠΈΠΈ.

Π’Π°ΠΊΠΆΠ΅ Π² ΠΏΠ»Π°Ρ‚Ρƒ ПАК ΠΈΠ½Ρ‚Π΅Π³Ρ€ΠΈΡ€ΠΎΠ²Π°Π½ IDE интСрфСйс ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½Π½Ρ‹ΠΉ Π½Π° ΡˆΠΈΠ½Ρƒ EBI.

Данная Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π° ПАК позволяСт Ρ€Π΅Π°Π»ΠΈΠ·ΠΎΠ²Π°Ρ‚ΡŒ Π±ΠΎΠ»ΡŒΡˆΠΈΠ½ΡΡ‚Π²ΠΎ возмоТностСй процСссора. Π­Ρ‚ΠΎ являСтся большим плюсом ΠΈ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΠ΅Ρ‚ ΠΎΡ‚Π»Π°ΠΆΠΈΠ²Π°Ρ‚ΡŒ большой ΠΏΠ΅Ρ€Π΅Ρ‡Π΅Π½ΡŒ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ самых Ρ€Π°Π·Π½ΠΎΠΎΠ±Ρ€Π°Π·Π½Ρ‹Ρ… Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ.

Π‘Π»Π΅Π΄ΡƒΠ΅Ρ‚ Π·Π°ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ, Ρ‡Ρ‚ΠΎ для осущСствлСния ΠΏΠΎΠ΄ΠΎΠ±Π½ΠΎΠΉ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΏΠΎΠ΄ΠΎΠ±Ρ€Π°Ρ‚ΡŒ ΡΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΡƒΡŽ ΡΠ»Π΅ΠΌΠ΅Π½Ρ‚Π½ΡƒΡŽ Π±Π°Π·Ρƒ. И Π² ΠΏΠΎΠ»Π½ΠΎΠΉ ΠΌΠ΅Ρ€Π΅ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°Ρ‚ΡŒΡΡ соврСмСнными микропроцСссорами с RISC Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€ΠΎΠΉ, ΠΈΠΌΠ΅ΡŽΡ‰ΠΈΠΌΠΈ Π½Π΅ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ достаточный Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π», Π½ΠΎ ΠΈ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ, ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰ΠΈΠ΅ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ соврСмСнныС ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Π΅ инструмСнты ΠΈ ΠΏΡ€ΠΈ этом ΠΎΠ±Π»Π°Π΄Π°ΡŽΡ‚ ΠΎΡ‡Π΅Π½ΡŒ ΠΌΠ°Π»Ρ‹ΠΌ энСргопотрСблСниСм.

4 Π’Ρ‹Π±ΠΎΡ€ элСмСнтной Π±Π°Π·Ρ‹ ПАК

Π’Ρ‹Π±ΠΎΡ€ элСмСнтной Π±Π°Π·Ρ‹ являСтся Π²Π°ΠΆΠ½ΠΎΠΉ Ρ‡Π°ΡΡ‚ΡŒΡŽ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ любого элСктронного устройства, Ρ‚Π°ΠΊ ΠΊΠ°ΠΊ ΠΎΡ‚ ΠΏΡ€Π°Π²ΠΈΠ»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ‹Π±ΠΎΡ€Π° зависит ΠΊΠ°ΠΊ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ устройства, Ρ‚Π°ΠΊ ΠΈ Π³Π°Ρ€Π°Π½Ρ‚ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ΅ Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ своих Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ…, прСдусмотрСнных Π² Ρ‚СхничСском Π·Π°Π΄Π°Π½ΠΈΠΈ. ΠŸΡ€ΠΈ Π²Ρ‹Π±ΠΎΡ€Π΅ элСмСнтной Π±Π°Π·Ρ‹ для Ρ€Π°Π·Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Π΅ΠΌΠΎΠΉ установки Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΡƒΡ‡ΠΈΡ‚Ρ‹Π²Π°Ρ‚ΡŒ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ трСбования:

обСспСчСниС минимальной потрСбляСмой мощности элСктрорадиоэлСмСнтов (Π­Π Π­);

обСспСчСниС быстродСйствия Π­Π Π­;

обСспСчСниС Π·Π°Π΄Π°Π½Π½Ρ‹Ρ… Π³Π°Π±Π°Ρ€ΠΈΡ‚ΠΎΠ² ΠΏΠ»Π°Ρ‚Ρ‹;

обСспСчСниС Ρ€Π°Π±ΠΎΡ‚Ρ‹ ΠΏΠ»Π°Ρ‚Ρ‹ Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ…, ΡƒΠΊΠ°Π·Π°Π½Π½Ρ‹Ρ… Π² Ρ‚СхничСском Π·Π°Π΄Π°Π½ΠΈΠΈ;

обСспСчСниС наимСньшСй стоимости ΠΏΠ»Π°Ρ‚Ρ‹;

обСспСчСниС простоты Ρ€Π΅ΠΌΠΎΠ½Ρ‚Π°.

Π’Π°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ, Π·Π°Π΄Π°Ρ‡Π° Π²Ρ‹Π±ΠΎΡ€Π° Ρ‚ΠΈΠΏΠ° элСмСнтной Π±Π°Π·Ρ‹ состоит ΠΈΠ· Ρ‚Ρ€Π΅Ρ… основных этапов:

Π²Ρ‹Π±ΠΎΡ€ сСрий ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Ρ… ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… схСм;

Π²Ρ‹Π±ΠΎΡ€ Ρ‚ΠΈΠΏΠΎΠ² корпусов ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Ρ… ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… схСм;

Π²Ρ‹Π±ΠΎΡ€ ΠΎΡΡ‚Π°Π»ΡŒΠ½Ρ‹Ρ… Π­Π Π­.

Π’ ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ Ρ†Π΅Π½Ρ‚Ρ€Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ процСссора ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ AT91RM9260 — Π·Π°Π²Π΅Ρ€ΡˆΠ΅Π½Π½Π°Ρ ΠΎΠ΄Π½ΠΎΠΊΡ€ΠΈΡΡ‚Π°Π»ΡŒΠ½Π°Ρ систСма, построСнная Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ процСссора ARM926EJ-S. Она Π²ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ Π² ΡΠ΅Π±Ρ Π±ΠΎΠ³Π°Ρ‚Ρ‹ΠΉ Π½Π°Π±ΠΎΡ€ систСмных ΠΈ ΠΏΡ€ΠΈΠΊΠ»Π°Π΄Π½Ρ‹Ρ… Π²Π½Π΅ΡˆΠ½ΠΈΡ… устройств ΠΈ ΡΡ‚Π°Π½Π΄Π°Ρ€Ρ‚Π½Ρ‹Ρ… интСрфСйсов, Ρ‚Π΅ΠΌ самым прСдлагая Ρ€Π΅ΡˆΠΈΡ‚ΡŒ ΡˆΠΈΡ€ΠΎΠΊΠΈΠΉ Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½ Π·Π°Π΄Π°Ρ‡ Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ ΠΎΠ΄Π½ΠΎΠΉ микросхСмы, Π³Π΄Π΅ трСбуСтся Π΄ΠΎΠ±ΠΈΡ‚ΡŒΡΡ большого числа Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ ΠΏΡ€ΠΈ ΠΌΠ°Π»ΠΎΠΌ энСргопотрСблСнии ΠΈ ΠΏΡ€ΠΈ самой Π½ΠΈΠ·ΠΊΠΎΠΉ стоимости. 14]

Π’Ρ‹Π±ΠΎΡ€ этого процСссора Ρ‚Π°ΠΊΠΆΠ΅ обусловлСн Ρ‚Π΅ΠΌ, Ρ‡Ρ‚ΠΎ производствСнныС мощности Π½Π΅ ΡΠΊΠΎΠ½Ρ†Π΅Π½Ρ‚Ρ€ΠΈΡ€ΠΎΠ²Π°Π½Ρ‹ Π² ΠΎΠ΄Π½ΠΎΠΉ странС, Π° Ρ€Π°ΡΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Ρ‹ ΠΏΠΎ ΠΌΠΈΡ€ΠΎΠ²Ρ‹ΠΌ ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½Ρ‹ΠΌ комплСксам Π² Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… странах. Π—Π°Ρ‡Π°ΡΡ‚ΡƒΡŽ это Π½Π΅ΠΌΠ°Π»ΠΎΠ²Π°ΠΆΠ½Ρ‹ΠΉ Ρ„Π°ΠΊΡ‚ΠΎΡ€ для государствСнных структур. ЗаинтСрСсованных Π² ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ Ρ‚Π΅Ρ…Π½ΠΈΠΊΠΈ собранных Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ ΠΈΠΌΠΏΠΎΡ€Ρ‚Π½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ². 20]

Π”Π°Π½Π½Ρ‹ΠΉ микропроцСссор поставляСтся Π² 2Ρ… Ρ‚ΠΈΠΏΠ°Ρ… корпусов:

Β· LFBGA 256

Β· PQFP 208

ΠŸΠ΅Ρ€Π²Ρ‹ΠΉ Ρ‚ΠΈΠΏ прСдставляСт собой массив ΡˆΠ°Ρ€ΠΈΠΊΠΎΠ² Π½Π° ΠΏΡ€ΡΠΌΠΎΡƒΠ³ΠΎΠ»ΡŒΠ½ΠΎΠΌ корпусС. Π’Ρ‚ΠΎΡ€ΠΎΠΉ — всС Π²Ρ‹Π²ΠΎΠ΄Ρ‹ располоТСны ΠΏΠΎ ΠΏΠ΅Ρ€ΠΈΠΌΠ΅Ρ‚Ρ€Ρƒ корпуса микросхСмы.

LFBGA PQFP

Рис. 4.1 Π’ΠΈΠ΄Ρ‹ корпусов BGA ΠΈ PQFP.

НСсмотря Π½Π° Π±ΠΎΠ»Π΅Π΅ Π²Ρ‹ΡΠΎΠΊΡƒΡŽ ΠΏΠ»ΠΎΡ‚Π½ΠΎΡΡ‚ΡŒ ΠΈ ΠΌΠ΅Π½ΡŒΡˆΠΈΠ΅ Ρ€Π°Π·ΠΌΠ΅Ρ€Ρ‹ Ρƒ LFBGA Ρ‚ΠΈΠΏΠ° корпуса, Π±Ρ‹Π» Π²Ρ‹Π±Ρ€Π°Π½ PQFP Ρ‚ΠΈΠΏ, Ρ‚Π°ΠΊ ΠΊΠ°ΠΊ позволяСт ΠΏΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ качСство ΠΏΠ°ΠΉΠΊΠΈ микросхСмы, ΠΈ ΠΈΠΌΠ΅ΡŽΡ‚ Π»ΡƒΡ‡ΡˆΠΈΠ΅ ΠΏΠΎΠΊΠ°Π·Π°Ρ‚Π΅Π»ΠΈ надСТности. ΠŸΡ€ΠΈ Ρ‚Π΅ΠΏΠ»ΠΎΠ²ΠΎΠΌ Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠΈ ΠΈΠ»ΠΈ Π²ΠΈΠ±Ρ€Π°Ρ†ΠΈΠΈ Π³ΠΈΠ±ΠΊΠΈΠ΅ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹ этого корпуса ΡΠΊΠΎΠΌΠΏΠ΅Π½ΡΠΈΡ€ΡƒΡŽΡ‚ Π½Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ, Π² ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ ΡˆΠ°Ρ€ΠΎΠΎΠ±Ρ€Π°Π·Π½Ρ‹Ρ… ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚ΠΎΠ² Ρƒ LFBGA.

НомСнклатура Π·Π°Ρ€ΡƒΠ±Π΅ΠΆΠ½Ρ‹Ρ… микросхСм:

SN 74 HC 244 DW

1 2 3 4 5

1.Π‘Ρ‚Π°Π½Π΄Π°Ρ€Ρ‚Π½Ρ‹ΠΉ прСфикс

2.Вип исполнСния

54 — Π²ΠΎΠ΅Π½Π½ΠΎΠ΅ исполнСниС

74 — ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½ΠΎΠ΅

3. ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ сСмСйства микросхСм

(HБвысоко скоростная CMOS Π»ΠΎΠ³ΠΈΠΊΠ°)

4. ВыполняСмыС Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ

244 — Π±ΡƒΡ„Π΅Ρ€ 5. ΠšΠΎΠ»ΠΈΡ‡Π΅ΡΡ‚Π²ΠΎ Π±ΠΈΡ‚

5.Π’ΠΈΠΏ корпуса

ΠŸΡ€ΠΎΠΈΠ·Π²Π΅Π΄Π΅ΠΌ Π²Ρ‹Π±ΠΎΡ€ Ρ‚ΠΈΠΏΠΎΠ² корпусов ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Ρ… Π˜Π‘ сСрии SN74. ΠšΠΎΡ€ΠΏΡƒΡΠ° ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… микросхСм Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡŽΡ‚ ряд Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ, основныС ΠΈΠ· ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ…: Π·Π°Ρ‰ΠΈΡ‚Π° ΠΎΡ‚ ΠΊΠ»ΠΈΠΌΠ°Ρ‚ичСских ΠΈ ΠΌΠ΅Ρ…аничСских воздСйствий, экранированиС ΠΎΡ‚ ΠΏΠΎΠΌΠ΅Ρ…, ΡƒΠΏΡ€ΠΎΡ‰Π΅Π½Π½Ρ‹ΠΉ процСсс сборки микросхСм, унификация конструктивного элСмСнта ΠΏΠΎ Π³Π°Π±Π°Ρ€ΠΈΡ‚Π½Ρ‹ΠΌ ΠΈ ΡƒΡΡ‚Π°Π½ΠΎΠ²ΠΎΡ‡Π½Ρ‹ΠΌ Ρ€Π°Π·ΠΌΠ΅Ρ€Π°ΠΌ.

ΠœΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΡ‹ сСрии SN 74 ΠΌΠΎΠ³ΡƒΡ‚ Π²Ρ‹ΠΏΡƒΡΠΊΠ°Ρ‚ΡŒΡΡ Π² ΠΊΠΎΡ€ΠΏΡƒΡΠ°Ρ… ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΡ… Ρ‚ΠΈΠΏΠΎΠ²:

— Π”Π˜ΠŸ корпус со ΡˆΡ‚Ρ‹Ρ€Π΅Π²Ρ‹ΠΌΠΈ Π²Ρ‹Π²ΠΎΠ΄Π°ΠΌΠΈ;

— Π‘ΠœΠ” корпус с ΠΏΠ»Π°Π½Π°Ρ€Π½Ρ‹ΠΌΠΈ Π²Ρ‹Π²ΠΎΠ΄Π°ΠΌΠΈ.

По ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹ΠΌ ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»Π°ΠΌ корпуса ΠΌΠΎΠΆΠ½ΠΎ Ρ€Π°Π·Π΄Π΅Π»ΠΈΡ‚ΡŒ Π½Π°:

— ΠΌΠ΅Ρ‚аллостСклянныС (стСклянныС);

— ΠΌΠ΅Ρ‚аллокСрамичСскиС (кСрамичСскиС);

— ΠΏΠΎΠ»ΠΈΠΌΠ΅Ρ€Π½Ρ‹Π΅ (ΠΌΠ΅Ρ‚Π°Π»Π»ΠΎΠΏΠΎΠ»ΠΈΠΌΠ΅Ρ€Π½Ρ‹Π΅) корпуса:

a. ΠΌΠΎΠ½ΠΎΠ»ΠΈΡ‚Π½Ρ‹Π΅ (пластмассовыС);

b. сборныС (ΡˆΠΎΠ²Π½ΠΎΠΊΠ»Π΅Π΅Π²Ρ‹Π΅). 11]

Π’Π°ΠΊ ΠΊΠ°ΠΊ, Π² ΡΠΎΠΎΡ‚вСтствии с Π’Π—, комплСкс Ρ€Π°Π±ΠΎΡ‚Π°Π΅Ρ‚ Π² Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Π΅ Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€ Π²ΠΎΠ·Π΄ΡƒΡ…Π° ΠΎΡ‚ +10 Π΄ΠΎ +550Π‘, Π±Π΅Π· ΡΠ΅Ρ€ΡŒΠ΅Π·Π½Ρ‹Ρ… мСханичСских ΠΏΠ΅Ρ€Π΅Π³Ρ€ΡƒΠ·ΠΎΠΊ, ΠΏΡ€ΠΈ Π½ΠΎΡ€ΠΌΠ°Π»ΡŒΠ½ΠΎΠΌ атмосфСрном Π΄Π°Π²Π»Π΅Π½ΠΈΠΈ, ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ микросхСм Π² ΠΌΠ΅Ρ‚аллостСклянных ΠΈ ΠΌΠ΅Ρ‚аллокСрамичСских корпусах нСцСлСсообразно. К ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΡŽ Π²Ρ‹Π±Ρ€Π°Π½ корпус повСрхностного ΠΌΠΎΠ½Ρ‚Π°ΠΆΠ° Ρ‚ΠΈΠΏΠ° SOIC, ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‰ΠΈΠΉ ΠΌΠ°Π»Ρ‹ΠΉ вСс ΠΈ Π²Ρ‹ΡΠΎΠΊΡƒΡŽ Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΡ‡Π½ΠΎΡΡ‚ΡŒ ΠΏΡ€ΠΈ Π½ΠΈΠ·ΠΊΠΎΠΉ стоимости.

Π’ ΡΡ…Π΅ΠΌΠ΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ ΠΌΠ΅Ρ‚Π°Π»Π»ΠΎΠΏΠ»Π΅Π½ΠΎΡ‡Π½Ρ‹Π΅ тСплостойкиС рСзисторы R0603 (0.06 * 0.03 дюйма). Π”Π°Π½Π½Ρ‹Π΅ рСзисторы Π²Ρ‹ΠΏΡƒΡΠΊΠ°ΡŽΡ‚ΡΡ с ΠΎΡ‚клонСниями ΠΏΠΎ Π½ΠΎΠΌΠΈΠ½Π°Π»Ρƒ:

Β· Π½Π΅ Π±ΠΎΠ»Π΅Π΅ 10%;

Β· Π½Π΅ Π±ΠΎΠ»Π΅Π΅ 5%;

Β· Π½Π΅ Π±ΠΎΠ»Π΅Π΅ 1%;

Β· Π½Π΅ Π±ΠΎΠ»Π΅Π΅ 0,5%.

Для примСнСния Π² Π΄Π°Π½Π½ΠΎΠΌ устройствС Π²Ρ‹Π±Ρ€Π°Π½Ρ‹ рСзисторы с ΠΎΡ‚ΠΊΠ»ΠΎΠ½Π΅Π½ΠΈΠ΅ΠΌ ΠΏΠΎ Π½ΠΎΠΌΠΈΠ½Π°Π»Ρƒ Π½Π΅ Π±ΠΎΠ»Π΅Π΅ 1%. Π’Ρ‹Π±ΠΎΡ€ обосновываСтся Ρ‚Π΅ΠΌ, Ρ‡Ρ‚ΠΎ Π΄Π°Π½Π½Ρ‹Π΅ рСзисторы нашли ΡˆΠΈΡ€ΠΎΠΊΠΎΠ΅ распространСниС Π² ΡΠ»Π΅ΠΊΡ‚Ρ€ΠΎΠ½Π½Ρ‹Ρ… Π²Ρ‹Ρ‡ΠΈΡΠ»ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΌΠ°ΡˆΠΈΠ½Π°Ρ…, Π²Ρ‹ΠΏΡƒΡΠΊΠ°ΡŽΡ‚ΡΡ Π² ΡˆΠΈΡ€ΠΎΠΊΠΎΠΌ Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Π΅ сопротивлСний; ΠΎΠ±Π»Π°Π΄Π°ΡŽΡ‚ достаточной Ρ‚ΠΎΡ‡Π½ΠΎΡΡ‚ΡŒΡŽ, удобством изготовлСния, элСктричСской ΠΈ ΠΌΠ΅Ρ…аничСской ΠΏΡ€ΠΎΡ‡Π½ΠΎΡΡ‚ΡŒΡŽ ΠΈ Π΄Π΅ΡˆΠ΅Π²ΠΈΠ·Π½ΠΎΠΉ.

Π’Π°ΠΊΠΆΠ΅ Π² ΡΡ…Π΅ΠΌΠ΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ рСзисторныС сборки CAY16-F4. Они ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΡƒΠΌΠ΅Π½ΡŒΡˆΠΈΡ‚ΡŒ количСство элСмСнтов ΠΈ ΠΏΠ»ΠΎΡ‰Π°Π΄ΡŒ, Π·Π°Π½ΠΈΠΌΠ°Π΅ΠΌΡƒΡŽ ΠΈΠΌΠΈ Π½Π° ΠΏΠ»Π°Ρ‚Π΅.

Рис. 4.2 ΠšΠΎΡ€ΠΏΡƒΡ рСзистивной сборки.

CA Y 16 — 103 J 4 LF

1 2 3 4 5 6

1. ΠžΠ±Ρ‰Π΅Π΅ Π½Π°Π·Π²Π°Π½ΠΈΠ΅ сСрии

2. Π’ΠΈΠΏ корпуса

3. Π—Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ сопротивлСния

4. Π—Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ сопротивлСния

5. Допуск Π½Π° Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ сопротивлСния

F = ± 1%

G = ± 2%

J = ± 5%

6. Π’ΠΈΠΏ корпуса[13]

Π’ ΡΡ…Π΅ΠΌΠ΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ кСрамичСскиС кондСнсаторы SMT 0603. Π”Π°Π½Π½Ρ‹Π΅ кондСнсаторы ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Ρ‹ Π² ΠΎΡΠ½ΠΎΠ²Π½ΠΎΠΌ для Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π² Ρ†Π΅ΠΏΡΡ… высокой частоты, ΠΈΡ… Π΄ΠΎΡΡ‚оинства — Ρ…ΠΎΡ€ΠΎΡˆΠΈΠ΅ частотныС свойства, высокая ΡΡ‚Π°Π±ΠΈΠ»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ², простота конструкции, дСшСвизна, низкая собствСнная ΠΈΠ½Π΄ΡƒΠΊΡ‚ΠΈΠ²Π½ΠΎΡΡ‚ΡŒ. 18]

Π’ ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠŸΠ—Π£ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ микросхСма AT45DB081B-RI, ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ осущСствляСтся элСктричСским ΠΏΡƒΡ‚Π΅ΠΌ, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ позволяСт ΠΌΠ½ΠΎΠ³ΠΎΠΊΡ€Π°Ρ‚Π½ΠΎΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅. Π’ ΡΡ…Π΅ΠΌΠ΅ Ρ‚Π°ΠΊΠΆΠ΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ микросхСмы статичСского ΠžΠ—Π£ K4S561632C-TC75. 19] Для стабилизации напряТСния Π² ΡΡ…Π΅ΠΌΠ΅ синхронизации ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ² прСдусмотрСн стабилизатор MIC4576−50 ΠΈ Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Π΅ IRU-1010−18, IRU-1010−33. 12]

5 Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° Ρ‚ΠΎΠΏΠΎΠ»ΠΎΠ³ΠΈΠΈ ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹

5.1 Π’Ρ‹Π±ΠΎΡ€ ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»Π° ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹

ΠœΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π» для ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹ выбираСтся ΠΏΠΎ Π“ΠžΠ‘Π’ 10 316–78. Π˜ΡΡ…ΠΎΠ΄Ρ ΠΈΠ· Ρ…арактСристик, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Ρ… для изготовлСния ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚ Ρ„ΠΎΠ»ΡŒΠ³ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Ρ… ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»ΠΎΠ² слСдуСт, Ρ‡Ρ‚ΠΎ стСклотСкстолиты прСвосходят гСтинакс ΠΏΠΎ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌ мСханичСских ΠΈ ΡΠ»Π΅ΠΊΡ‚ричСских характСристик, ΠΈ Π²ΠΎΠ·Π΄Π΅ΠΉΡΡ‚Π²ΠΈΡŽ влаТности, уступая Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π² Π΄ΠΈΡΠ»Π΅ΠΊΡ‚ричСской проницаСмости. Π­Ρ‚ΠΎ сущСствСнный плюс для использования Π² Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Π΅, Π³Π΄Π΅ ΠΎΡ‡Π΅Π½ΡŒ Π²Π°ΠΆΠ½Π° Π½Π°Π΄Π΅ΠΆΠ½ΠΎΡΡ‚ΡŒ ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»ΠΎΠ². Π’ ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»Π° для ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹ Π²Ρ‹Π±Ρ€Π°Π½ стСклотСкстолит ΠΌΠ°Ρ€ΠΊΠΈ Π‘Π€ -2 -35−1.5, Ρ‚ΠΎΠ»Ρ‰ΠΈΠ½Π° Ρ„ΠΎΠ»ΡŒΠ³ΠΈ 35ΠΌΠΊΠΌ, ΠΏΠ»Π°Ρ‚Ρ‹ — 1.5ΠΌΠΌ.

Π’Π°Π±Π»ΠΈΡ†Π° 5.1

ΠŸΠΎΠΊΠ°Π·Π°Ρ‚Π΅Π»ΠΈ

Π“Π€-1

Π“Π€-1-П, Π“Π€-2-П

Π“Π€-1-Н, Π“Π€-2-Н

Π‘Π€-1, Π‘Π€-2

ГСтинакс ΠΌΠ°Ρ€ΠΎΠΊ

А

Π‘

Π’

ΠŸΠ»ΠΎΡ‚Π½ΠΎΡΡ‚ΡŒ, Π³/см3

с Ρ„ΠΎΠ»ΡŒΠ³ΠΎΠΉ

1,8−2,0

1,5−1,85

1,5−1,85

1,9−2,9

;

;

;

Π±Π΅Π· Ρ„ΠΎΠ»ΡŒΠ³ΠΈ

1,3−1,4

1,3−1,4

1,3−1,4

1,6−1,8

1,3−1,4

1,25−1,4

1,3−1,4

ΠŸΡ€Π΅Π΄Π΅Π» прочности ΠΏΡ€ΠΈ растяТСнии Π² ΠΊΠ³Ρ/см2

(Π½Π΅ ΠΌΠ΅Π½Π΅Π΅)

Π’ΠΎΠ΄ΠΎΠΏΠΎΠ³Π»ΠΎΡ‰Π΅Π½ΠΈΠ΅ Π² %

(Π½Π΅ Π±ΠΎΠ»Π΅Π΅)

0,5

0,5

0,6

ΠœΠ΅Ρ…Π°Π½ΠΈΡ‡Π΅ΡΠΊΠΈΠ΅ характСристики Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… изоляционных ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»ΠΎΠ² для изготовлСния ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚

Π’Π°Π±Π»ΠΈΡ†Π° 5.2 ЭлСктричСскиС характСристики Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… изоляционных ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»ΠΎΠ² для изготовлСния ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚.

ΠŸΠΎΠΊΠ°Π·Π°Ρ‚Π΅Π»ΠΈ

Π“Π€-1

Π“Π€-1-П, Π“Π€-2-П

Π“Π€-1-Н, Π“Π€-2-Н

Π‘Π€-1, Π‘Π€-2

ГСтинакс ΠΌΠ°Ρ€ΠΎΠΊ

А

Π‘

Π’

УдСльноС повСрхностноС элСктричСскоС сопротивлСниС, Ом

(Π½Π΅ ΠΌΠ΅Π½Π΅Π΅)

1011

1011

1011

1012

1011

;

1010

УдСльноС объСмноС элСктричСскоС сопротивлСниС, Ом*см (Π½Π΅ ΠΌΠ΅Π½Π΅Π΅)

1012

1012

1011

1013

1011

;

1010

ВангСнс ΡƒΠ³Π»Π° диэлСктричСских ΠΏΠΎΡ‚Π΅Ρ€ΡŒ ΠΏΡ€ΠΈ частотС 10Π± Π“Ρ† (Π½Π΅ Π±ΠΎΠ»Π΅Π΅)

0,038

0,038

0,038

0,045

;

;

;

ДиэлСктричСская ΠΏΡ€ΠΎΠ½ΠΈΡ†Π°Π΅ΠΌΠΎΡΡ‚ΡŒ ΠΏΡ€ΠΈ частотС 106 Π“Ρ† (Π½Π΅ Π±ΠΎΠ»Π΅Π΅)

;

ВрСбования ΠΊ Ρ‚СхнологичСской Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠ΅ основания ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹.

ΠžΡΠ½ΠΎΠ²Π½Ρ‹Π΅ трСбования ΠΊ Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠ΅ ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹:

1. Π Π°Π·ΠΌΠ΅Ρ€ Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠΈ Π΄ΠΎΠ»ΠΆΠ΅Π½ Π±Ρ‹Ρ‚ΡŒ Π½Π΅ Π±ΠΎΠ»Π΅Π΅ (L W) (308 208) ΠΌΠΌ (12.12″ x 8.18″).

2. Π’ΠΎΠ»Ρ‰ΠΈΠ½Π° листа Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠΈ ΠΏΠ»Π°Ρ‚Ρ‹ Π΄ΠΎΠ»ΠΆΠ½Π° Π±Ρ‹Ρ‚ΡŒ ΠΎΡ‚ 0.6 ΠΌΠΌ Π΄ΠΎ 3. ΠΌΠΌ (0.024″… 0.2″).

3. Π—ΠΎΠ½Ρ‹ Π½Π° Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠ΅, Π·Π°ΠΏΡ€Π΅Ρ‰Ρ‘Π½Π½Ρ‹Π΅ для размСщСния ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π΄ΠΎΠ»ΠΆΠ½Ρ‹ ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΠΎΠ²Π°Ρ‚ΡŒ (рис. 5.1):

Рис. 5.1. Π—ΠΎΠ½Ρ‹, Π·Π°ΠΏΡ€Π΅Ρ‰Ρ‘Π½Π½Ρ‹Π΅ для размСщСния ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ²

А — сторона ΠΏΠ»Π°Ρ‚Ρ‹ для установки SMD ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ²:

-запрСщённая Π·ΠΎΠ½Π° ΡˆΠΈΡ€ΠΈΠ½ΠΎΠΉ 3 ΠΌΠΌ ΠΎΡ‚ Π²Π΅Ρ€Ρ…Π½Π΅Π³ΠΎ края Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠΈ (Рис. 5.1);

— Π·Π°ΠΏΡ€Π΅Ρ‰Ρ‘нная Π·ΠΎΠ½Π° ΡˆΠΈΡ€ΠΈΠ½ΠΎΠΉ 5 ΠΌΠΌ ΠΎΡ‚ Π½ΠΈΠΆΠ½Π΅Π³ΠΎ края Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠΈ (Рис. 5.1).

Π’ — противополоТная SMD ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°ΠΌ сторона ΠΏΠ»Π°Ρ‚Ρ‹

-Π·Π°ΠΏΡ€Π΅Ρ‰Ρ‘Π½Π½Ρ‹Π΅ Π·ΠΎΠ½Ρ‹ ΡˆΠΈΡ€ΠΈΠ½ΠΎΠΉ 5 ΠΌΠΌ ΠΎΡ‚ Π²Π΅Ρ€Ρ…Π½Π΅Π³ΠΎ ΠΈ ΠΎΡ‚ Π½ΠΈΠΆΠ½Π΅Π³ΠΎ ΠΊΡ€Π°Ρ‘Π² ΠΏΠ»Π°Ρ‚Ρ‹ (Рис. 5.1).

4. ДСформация Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠΈ ΠΏΠ»Π°Ρ‚Ρ‹ Π½Π΅ Π΄ΠΎΠ»ΠΆΠ½Π° ΠΏΡ€Π΅Π²Ρ‹ΡˆΠ°Ρ‚ΡŒ Π²Π΅Π»ΠΈΡ‡ΠΈΠ½, ΡƒΠΊΠ°Π·Π°Π½Π½Ρ‹Ρ… Π½Π° Π ΠΈΡ. 5.2.

Рис. 5.2. ДСформация ΠΏΠ»Π°Ρ‚Ρ‹ для ΠΏΠ°ΠΉΠΊΠΈ SMD ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ²

5. ΠŸΡ€ΠΈ нСобходимости установки Π½Π° ΠΏΠ»Π°Ρ‚Ρƒ навСсных ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π΄ΠΎ ΡƒΡΡ‚Π°Π½ΠΎΠ²ΠΊΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² SMD ΠΈΡ… Π²Ρ‹ΡΠΎΡ‚Π° Π½Π΅ Π΄ΠΎΠ»ΠΆΠ½Π° ΠΏΡ€Π΅Π²Ρ‹ΡˆΠ°Ρ‚ΡŒ:

— Π½Π° ΡΡ‚ΠΎΡ€ΠΎΠ½Π΅ ΠΏΠ»Π°Ρ‚Ρ‹ для установки SMD ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² — 6.5 ΠΌΠΌ (0.26″) (Рис5.3);

— Π½Π° ΠΏΡ€ΠΎΡ‚ΠΈΠ²ΠΎΠΏΠΎΠ»ΠΎΠΆΠ½ΠΎΠΉ SMD ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°ΠΌ сторонС ΠΏΠ»Π°Ρ‚Ρ‹ -10 ΠΌΠΌ (0.4″) (Рис. 5.3.).

Π‘Ρ‚ΠΎΡ€ΠΎΠ½Π° ΠΏΠ»Π°Ρ‚Ρ‹ для ΠΏΠ°ΠΉΠΊΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² повСрхностного ΠΌΠΎΠ½Ρ‚Π°ΠΆΠ° (SMD)

Рис. 5.3. Высота навСсных элСмСнтов

5.2 Π Π°Π·ΠΌΠ΅Ρ‰Π΅Π½ΠΈΠ΅ ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ² ΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ²

ΠŸΡ€ΠΈ Ρ€Π°Π·ΠΌΠ΅Ρ‰Π΅Π½ΠΈΠΈ ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ² ΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΡƒΡ‡ΠΈΡ‚Ρ‹Π²Π°Ρ‚ΡŒ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ трСбования.

— Π²ΡΠ΅ бСзкорпусныС ΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ с ΠΏΠ»Π°Π½Π°Ρ€Π½Ρ‹ΠΌΠΈ Π²Ρ‹Π²ΠΎΠ΄Π°ΠΌΠΈ (SMD) слСдуСт Ρ€Π°Π·ΠΌΠ΅Ρ‰Π°Ρ‚ΡŒ Π½Π° ΠΎΠ΄Π½ΠΎΠΉ сторонС ΠΏΠ»Π°Ρ‚Ρ‹.

Рис. 5.4. ΠœΠΈΠ½ΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹Π΅ Π·Π°Π·ΠΎΡ€Ρ‹ ΠΌΠ΅ΠΆΠ΄Ρƒ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠ°ΠΌΠΈ.

— Π·Π°Π·ΠΎΡ€Ρ‹ ΠΌΠ΅ΠΆΠ΄Ρƒ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°ΠΌΠΈ Π΄ΠΎΠ»ΠΆΠ½Ρ‹ Π±Ρ‹Ρ‚ΡŒ Π½Π΅ ΠΌΠ΅Π½Π΅Π΅ ΡƒΠΊΠ°Π·Π°Π½Π½Ρ‹Ρ… Π½Π° Π ΠΈΡ. 5.4

— ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ Π΄ΠΎΠ»ΠΆΠ½Ρ‹ Ρ€Π°ΡΠΏΠΎΠ»Π°Π³Π°Ρ‚ΡŒΡΡ Π½Π΅ Π±Π»ΠΈΠΆΠ΅ 1.25 ΠΌΠΌ (0,05″) ΠΎΡ‚ ΠΊΡ€Π°Ρ Π·Π°Π³ΠΎΡ‚ΠΎΠ²ΠΊΠΈ ΠΈ Π½Π΅ Π±Π»ΠΈΠΆΠ΅ Π·Π°ΠΏΡ€Π΅Ρ‰Ρ‘Π½Π½Ρ‹Ρ… Π·ΠΎΠ½, ΡƒΠΊΠ°Π·Π°Π½Π½Ρ‹Ρ… Π² ΠΏ. 5.1;

— Π² ΡΠ»ΠΎΠ΅ ΠΌΠ΅Ρ‚Π°Π»Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ ΠΏΡ€ΠΈ трассировкС ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ² Π½ΡƒΠΆΠ½ΠΎ ΠΈΠ·Π±Π΅Π³Π°Ρ‚ΡŒ острых ΡƒΠ³Π»ΠΎΠ²;

— ΡˆΠΈΠ½Π° зазСмлСния Π΄ΠΎΠ»ΠΆΠ½Π° Π±Ρ‹Ρ‚ΡŒ Π²Π΅Π·Π΄Π΅, Π³Π΄Π΅ это Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎ;

— ΠΎΠ±Ρ€Π°Ρ‚ΠΈΡ‚ΡŒ Π²Π½ΠΈΠΌΠ°Π½ΠΈΠ΅ Π½Π° Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎΡΡ‚ΡŒ Π·Π°ΠΏΡ€Π΅Ρ‰Ρ‘Π½Π½ΠΎΠΉ Π·ΠΎΠ½Ρ‹ Π²ΠΎΠΊΡ€ΡƒΠ³ ΠΊΡ€Π΅ΠΏΡ‘ΠΆΠ½Ρ‹Ρ… отвСрстий;

— Π΄ΠΈΠ°ΠΌΠ΅Ρ‚Ρ€Ρ‹ отвСрстий для ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² с Π²Ρ‹Π²ΠΎΠ΄Π°ΠΌΠΈ Π΄ΠΎΠ»ΠΆΠ½Ρ‹ ΠΏΡ€Π΅Π²Ρ‹ΡˆΠ°Ρ‚ΡŒ Π΄ΠΈΠ°ΠΌΠ΅Ρ‚Ρ€Ρ‹ Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² Π½Π΅ Π±ΠΎΠ»Π΅Π΅ Ρ‡Π΅ΠΌ Π½Π° 0.25ΠΌΠΌ (0.01″);

— Π΄ΠΈΠ°ΠΌΠ΅Ρ‚Ρ€Ρ‹ отвСрстий Π½Π° Ρ‡Π΅Ρ€Ρ‚Π΅ΠΆΠ΅ ΡƒΠΊΠ°Π·Ρ‹Π²Π°ΡŽΡ‚ΡΡ с ΡƒΡ‡Ρ‘Ρ‚ΠΎΠΌ Ρ‚ΠΎΠ»Ρ‰ΠΈΠ½Ρ‹ ΠΌΠ΅Ρ‚Π°Π»Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ;

— Ρ€Π°ΡΡΡ‚ояниС ΠΎΡ‚ ΠΊΡ€Π°Ρ Π½Π΅ ΠΌΠ΅Ρ‚Π°Π»Π»ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ отвСрстия Π΄ΠΎ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½ΠΎΠΉ ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΊΠΈ ΠΈΠ»ΠΈ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠ° Π΄ΠΎΠ»ΠΆΠ½ΠΎ Π±Ρ‹Ρ‚ΡŒ Π½Π΅ ΠΌΠ΅Π½Π΅Π΅ 0.5 ΠΌΠΌ (0.02″);

— ΠΏΠΎΠ»ΡΡ€Π½Ρ‹Π΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ ΠΆΠ΅Π»Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ ΠΎΡ€ΠΈΠ΅Π½Ρ‚ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²ΠΎ;

— Π²ΡΠ΅ пассивныС ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ ΠΎΠ΄Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ° ΠΏΠΎ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΠΈ Π³Ρ€ΡƒΠΏΠΏΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ. Π’ Π³Ρ€ΡƒΠΏΠΏΠ°Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ Ρ€Π°ΡΠΏΠΎΠ»Π°Π³Π°Ρ‚ΡŒ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎ (Рис. 5.5.);

— Π²ΡΠ΅ SOIC ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ рСкомСндуСтся Ρ€Π°Π·ΠΌΠ΅Ρ‰Π°Ρ‚ΡŒ пСрпСндикулярно Π΄Π»ΠΈΠ½Π½ΠΎΠΉ оси пассивных ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² (Рис. 5.5.);

— ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΈ, располоТСнныС ΠΏΠΎΠ΄ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°ΠΌΠΈ SMD, Π΄ΠΎΠ»ΠΆΠ½Ρ‹ Π±Ρ‹Ρ‚ΡŒ Π·Π°ΠΊΡ€Ρ‹Ρ‚Ρ‹ Π·Π°Ρ‰ΠΈΡ‚Π½ΠΎΠΉ маской;

— Π΄Π»Ρ ΡƒΠΌΠ΅Π½ΡŒΡˆΠ΅Π½ΠΈΡ ΠΎΡ‚Ρ‚ΠΎΠΊΠ° Ρ‚Π΅ΠΏΠ»Π° ΠΏΡ€ΠΈ ΠΏΠ°ΠΉΠΊΠ΅ ΠΎΡ‚ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½Ρ‹Ρ… ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΎΠΊ (для ΠΈΡΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ появлСния «Ρ…ΠΎΠ»ΠΎΠ΄Π½Ρ‹Ρ…» ΠΏΠ°Π΅ΠΊ) Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ:

Π°) Π˜ΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ ΡƒΠ·ΠΊΠΈΠ΅ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΈ, ΡΠΎΠ΅Π΄ΠΈΠ½ΡΡŽΡ‰ΠΈΠ΅ нСпосрСдствСнно ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½ΡƒΡŽ ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΊΡƒ ΠΈ ΡˆΠΈΡ€ΠΎΠΊΠΈΠΉ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊ, ΠΊΠ°ΠΊ ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΎ Π½Π° Π ΠΈc.5.6. (Π°, Π±).

Рис. 5.5. Π Π°Π·ΠΌΠ΅Ρ‰Π΅Π½ΠΈΠ΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π½Π° ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Π΅.

Π¨ΠΈΡ€ΠΈΠ½Π° подводящСго «ΡƒΠ·ΠΊΠΎΠ³ΠΎ» ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠ° выбираСтся Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ ΠΊΠ»Π°ΡΡΠ° точности ΠΏΠ»Π°Ρ‚Ρ‹ ΠΈ ΠΎΡ‚ ΠΏΡ€ΠΎΡ…одящСго ΠΏΠΎ Π½Π΅ΠΌΡƒ Ρ‚ΠΎΠΊΠ°.

Π°) Π±) Рис. 5.6. ΠŸΡ€ΠΈΠΌΠ΅Ρ€Ρ‹ ΠΏΠΎΠ΄Π²ΠΎΠ΄Π° ΡˆΠΈΡ€ΠΎΠΊΠΈΡ… ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ² ΠΊ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½Ρ‹ΠΌ ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΊΠ°ΠΌ.

Π±) ВсС ΠΏΠ΅Ρ€Π΅ΠΌΡ‹Ρ‡ΠΊΠΈ ΠΌΠ΅ΠΆΠ΄Ρƒ Π½ΠΎΠΆΠΊΠ°ΠΌΠΈ SMD микросхСм Π΄ΠΎΠ»ΠΆΠ½Ρ‹ Π½Π°Ρ…ΠΎΠ΄ΠΈΡ‚ΡŒΡΡ Π²Π½Π΅ мСста ΠΏΠ°ΠΉΠΊΠΈ:

Рис 5.7. ΠŸΠ΅Ρ€Π΅ΠΌΡ‹Ρ‡ΠΊΠΈ ΠΌΠ΅ΠΆΠ΄Ρƒ Π½ΠΎΠΆΠΊΠ°ΠΌΠΈ микросхСмы Π³) ΠŸΠ»ΠΎΡ‰Π°Π΄ΠΊΠΈ SMD ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², находящиСся Π½Π° Π±ΠΎΠ»ΡŒΡˆΠΈΡ… ΠΏΠΎΠ»ΠΈΠ³ΠΎΠ½Π°Ρ…, Π΄ΠΎΠ»ΠΆΠ½Ρ‹ Π±Ρ‹Ρ‚ΡŒ ΠΎΡ‚Π΄Π΅Π»Π΅Π½Ρ‹ ΠΎΡ‚ ΠΏΠΎΠ»ΠΈΠ³ΠΎΠ½Π° ΠΏΠ΅Ρ€Π΅ΠΌΡ‹Ρ‡ΠΊΠ°ΠΌΠΈ.

Рис. 5.8. ΠŸΡ€ΠΈΠΌΠ΅Ρ€Ρ‹ располоТСния ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΎΠΊ SMD Π½Π° Π±ΠΎΠ»ΡŒΡˆΠΈΡ… ΠΏΠΎΠ»ΠΈΠ³ΠΎΠ½Π°Ρ….

Π΄) Π’ΠΎΠΊΡ€ΡƒΠ³ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½ΠΎΠΉ ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΊΠΈ нанСсти маску, которая прСпятствуСт ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Ρ‰Π΅Π½ΠΈΡŽ расплавлСнного припоя вдоль ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠ°.

5.3 Π’Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… отвСрстий.

ΠŸΡ€ΠΈ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… отвСрстий Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΡΠΎΠ±Π»ΡŽΠ΄Π°Ρ‚ΡŒ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ трСбования:

— Π½Π΅ Π΄ΠΎΠΏΡƒΡΠΊΠ°Π΅Ρ‚ся Ρ€Π°ΡΠΏΠΎΠ»Π°Π³Π°Ρ‚ΡŒ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Π΅ отвСрстия ΠΏΠΎΠ΄ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°ΠΌΠΈ SMD ΠΈ Π½Π° ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½Ρ‹Ρ… ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΊΠ°Ρ…;

— Π΄ΠΈΠ°ΠΌΠ΅Ρ‚Ρ€ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… отвСрстий Π΄ΠΎΠ»ΠΆΠ΅Π½ Π²Ρ‹Π±ΠΈΡ€Π°Ρ‚ΡŒΡΡ, ΠΎΡΠ½ΠΎΠ²Ρ‹Π²Π°ΡΡΡŒ Π½Π° Ρ‚ΠΎΠ»Ρ‰ΠΈΠ½Π΅ ΠΏΠ»Π°Ρ‚Ρ‹ ΠΈ Ρ€Π΅ΠΊΠΎΠΌΠ΅Π½Π΄ΠΎΠ²Π°Π½Π½ΠΎΠΌ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»Π΅ΠΌ ΠΎΡ‚Π½ΠΎΡˆΠ΅Π½ΠΈΠΈ Ρ‚ΠΎΠ»Ρ‰ΠΈΠ½Ρ‹ ΠΏΠ»Π°Ρ‚Ρ‹ ΠΈ ΠΌΠΈΠ½ΠΈΠΌΠ°Π»ΡŒΠ½ΠΎΠΌ Π΄ΠΈΠ°ΠΌΠ΅Ρ‚Ρ€Π΅ ΠΌΠ΅Ρ‚Π°Π»Π»ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ отвСрстия.

ΠŸΡ€ΠΈΠ²Π΅Π΄Ρ‘Π½Π½Ρ‹ΠΉ рисунок (Рис. 5.9.) дСмонстрируСт Ρ€Π΅ΠΊΠΎΠΌΠ΅Π½Π΄ΡƒΠ΅ΠΌΠΎΠ΅ располоТСниС ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… отвСрстий ΠΈ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½Ρ‹Ρ… ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΎΠΊ.

Рис. 5.9. ΠŸΡ€ΠΈΠΌΠ΅Ρ€Ρ‹ располоТСния ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… отвСрстий.

ΠœΠ°Ρ€ΠΊΠΈΡ€ΠΎΠ²ΠΊΠ° ΠΏΠ»Π°Ρ‚Ρ‹

На ΠΏΠ»Π°Ρ‚Π΅ наносится ΠΌΠ°Ρ€ΠΊΠΈΡ€ΠΎΠ²ΠΊΠ°:

— Π³Ρ€Π°Ρ„ичСских ΠΈ ΠΏΠΎΠ·ΠΈΡ†ΠΈΠΎΠ½Π½Ρ‹Ρ… ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² (графичСскиС обозначСния ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π΄ΠΎΠ»ΠΆΠ½Ρ‹ ΠΎΡ‚Ρ€Π°ΠΆΠ°Ρ‚ΡŒ ΠΏΠΎΠ»ΡΡ€Π½ΠΎΡΡ‚ΡŒ ΠΈ ΠΎΡ€ΠΈΠ΅Π½Ρ‚Π°Ρ†ΠΈΡŽ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π½Π° ΠΏΠ»Π°Ρ‚Π΅);

— ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΡ ΠΏΠ»Π°Ρ‚Ρ‹, вСрсии, обозначСния прСдприятия-изготовитСля ΠΈ Π΅Π³ΠΎ адрСс;

— ΠΏΡ€Π΅Π΄ΡƒΡΠΌΠ°Ρ‚риваСтся мСсто для нанСсСния Π½ΠΎΠΌΠ΅Ρ€Π° ΠΈ Π΄Π°Ρ‚Ρ‹ изготовлСния ΠΏΠ»Π°Ρ‚Ρ‹;

— ΠΌΠ°Ρ€ΠΊΠΈΡ€ΠΎΠ²ΠΊΠ° Π½Π° ΠΏΠ»Π°Ρ‚Π΅ выполняСтся Ρ‚Ρ€Π°Ρ„Π°Ρ€Π΅Ρ‚Π½ΠΎΠΉ ΠΏΠ΅Ρ‡Π°Ρ‚ΡŒΡŽ Π»ΠΈΠ±ΠΎ Π² ΡΠ»ΠΎΠ΅ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ²;

— Ρ‚Ρ€Π°Ρ„Π°Ρ€Π΅Ρ‚Π½ΡƒΡŽ ΠΏΠ΅Ρ‡Π°Ρ‚ΡŒ ΠΆΠ΅Π»Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ Ρ€Π°ΡΠΏΠΎΠ»Π°Π³Π°Ρ‚ΡŒ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΏΠΎ ΠΎΠ±Π»Π°ΡΡ‚ям ΠΏΠ»Π°Ρ‚Ρ‹, ΠΏΠΎΠΊΡ€Ρ‹Ρ‚Ρ‹Ρ… Π·Π°Ρ‰ΠΈΡ‚Π½ΠΎΠΉ маской. 6]

5.4 Π’Ρ‹Π±ΠΎΡ€ систСмы Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ проСктирования

ΠŸΡ€ΠΈ Π²Ρ‹Π±ΠΎΡ€Π΅ систСмы Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ проСктирования слСдуСт ΡƒΡ‡ΠΈΡ‚Ρ‹Π²Π°Ρ‚ΡŒ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ особСнности:

Β· Удобство проСктирования

Β· ΠŸΡ€ΠΎΡΡ‚ΠΎΡ‚Π° интСрфСйса

Β· Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ БАПР

Β· НаличиС Π³ΠΎΡ‚ΠΎΠ²Ρ‹Ρ… Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ²

Β· ΠŸΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° большого числа стандартов, Π² Ρ‚ΠΎΠΌ числС российских

Β· Π‘ΠΎΠ²ΠΌΠ΅ΡΡ‚ΠΈΠΌΠΎΡΡ‚ΡŒ с ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°ΠΌΠΈ для проСктирования, ΠΈΠΌΠ΅ΡŽΡ‰ΠΈΠΌΠΈΡΡ Π½Π° ΠΏΡ€Π΅Π΄ΠΏΡ€ΠΈΡΡ‚ΠΈΠΈ (Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, AutoCAD, Solid Works, Компас)

Β· ΠŸΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΌΠΈ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚Π°ΠΌΠΈ для производства ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚ Π΄Π°Π½Π½ΠΎΠ³ΠΎ БАПР, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ прямоС ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ станка ΠΈΠ· Π‘АПР.

Π‘ΠΎΠ»ΡŒΡˆΠΈΠ½ΡΡ‚Π²Ρƒ Π΄Π°Π½Π½Ρ‹Ρ… трСбования ΡƒΠ΄ΠΎΠ²Π»Π΅Ρ‚Π²ΠΎΡ€ΡΡŽΡ‚ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Π΅ ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚Ρ‹:

1. Accel P-CAD 2001 ΠΈ Π²Ρ‹ΡˆΠ΅

2. OrCAD

3. …

Π’ Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π΅ Π°Π½Π°Π»ΠΈΠ·Π° Π΄Π°Π½Π½Ρ‹Ρ… БАПР Ρ€Π΅ΡˆΠ΅Π½ΠΎ Π²Ρ‹Π±Ρ€Π°Ρ‚ΡŒ Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ срСдства проСктирования ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹ систСму P-CAD, Ρ‚Π°ΠΊ ΠΊΠ°ΠΊ ΠΎΠ½Π° ΠΏΠΎΠ΄Ρ…ΠΎΠ΄ΠΈΡ‚ ΠΏΠΎ Π²ΡΠ΅ΠΌ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌ, Π° Π³Π»Π°Π²Π½ΠΎΠ΅ поддСрТиваСтся станками для производства ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚, Ρ‡Ρ‚ΠΎ сущСствСнно упростит дальнСйшСС производство устройства. ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, Π² ΡΠΈΡΡ‚Π΅ΠΌΠ΅ P-CAD имССтся встроСнноС срСдство для экспорта Ρ„Π°ΠΉΠ»ΠΎΠ² Ρ‚ΠΎΠΏΠΎΠ»ΠΎΠ³ΠΈΠΈ ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Ρ‹ Π² Π΄Ρ€ΡƒΠ³ΠΈΠ΅ систСмы Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ проСктирования, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€ AutoCAD. Π­Ρ‚ΠΎ позволяСт ΡƒΠΏΡ€ΠΎΡΡ‚ΠΈΡ‚ΡŒ ΠΏΠΎΠ΄Π³ΠΎΡ‚ΠΎΠ²ΠΊΡƒ конструкторской Π΄ΠΎΠΊΡƒΠΌΠ΅Π½Ρ‚Π°Ρ†ΠΈΠΈ. Π² ΡΠΈΡΡ‚Π΅ΠΌΡƒ P-CAD Π²ΠΊΠ»ΡŽΡ‡Π΅Π½Ρ‹ нСсколько дСсятков ΠΈΠ½Ρ‚Π΅Π³Ρ€ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Ρ… Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΌΠΎΠΆΠ½ΠΎ ΠΎΡ‚Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ Π΄ΠΎ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ², Π½ΡƒΠΆΠ½Ρ‹Ρ… для Ρ‚Π΅ΠΊΡƒΡ‰Π΅Π³ΠΎ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°. ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, данная систСма позволяСт созданиС своих ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΡΠΊΠΈΡ… Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ. 8]

ΠžΠ±Ρ‰ΠΈΠ΅ характСристики систСмы Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ проСктирования P-CAD:

Β· 32-разрядная Π±Π°Π·Π° Π΄Π°Π½Π½Ρ‹Ρ…;

Β· Ρ€Π°Π·Ρ€Π΅ΡˆΠ°ΡŽΡ‰Π°Ρ ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ P-CAD Π Π‘Π’ ΠΈ Π΄Ρ€ΡƒΠ³ΠΈΡ… ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ Ρ€Π°Π²Π½Π° 0,001 ΠΌΠΌ;

Β· Π΄ΠΎ 100 ΠΎΡ‚ΠΊΡ€Ρ‹Ρ‚Ρ‹Ρ… ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ;

Β· число ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π² ΠΎΠ΄Π½ΠΎΠΉ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ΅ — Π½Π΅ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½ΠΎ;

Β· Π΄ΠΎ 64 000 элСктричСских Ρ†Π΅ΠΏΠ΅ΠΉ Π² ΠΎΠ΄Π½ΠΎΠΌ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅;

Β· Π΄ΠΎ 10 000 Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² Π² ΠΎΠ΄Π½ΠΎΠΌ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π΅;

Β· Π΄ΠΎ 5000 сСкций (Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ) Π² ΠΎΠ΄Π½ΠΎΠΌ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π΅;

Β· Π΄ΠΎ 2000 символов Π² Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°;

Β· Π΄ΠΎ 2000 символов Π² Ρ‚Скстовой строкС;

Β· Π΄ΠΎ 20 символов Π² ΠΈΠΌΠ΅Π½ΠΈ Π²Ρ‹Π²ΠΎΠ΄Π°, ΠΈΠΌΠ΅Π½ΠΈ Ρ†Π΅ΠΏΠΈ, ΠΏΠΎΠ·ΠΈΡ†ΠΈΠΎΠ½Π½ΠΎΠΌ ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠΈ Π²Ρ‹Π²ΠΎΠ΄Π° (ΠΏΡ€ΠΎΠ±Π΅Π»Ρ‹, Π·Π½Π°ΠΊΠΈ табуляции, Ρ‚ΠΎΡ‡ΠΊΠΈ ΠΈ ΡΠΊΠΎΠ±ΠΊΠΈ Π½Π΅ Π΄ΠΎΠΏΡƒΡΠΊΠ°ΡŽΡ‚ся);

Β· Π΄ΠΎ 16 символов Π² ΠΈΠΌΠ΅Π½ΠΈ Ρ‚ΠΈΠΏΠ° ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° (ΠΏΡ€ΠΎΠ±Π΅Π»Ρ‹ ΠΈ Π·Π½Π°ΠΊΠΈ табуляции Π½Π΅ Π΄ΠΎΠΏΡƒΡΠΊΠ°ΡŽΡ‚ся);

Β· Π΄ΠΎ 30 символов Π² ΠΏΠΎΠ·ΠΈΡ†ΠΈΠΎΠ½Π½ΠΎΠΌ ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° (Π΄Π²ΠΎΠ΅Ρ‚ΠΎΡ‡ΠΈΠ΅, ΠΏΡ€ΠΎΠ±Π΅Π»Ρ‹, Π·Π½Π°ΠΊΠΈ табуляции, Ρ‚ΠΎΡ‡ΠΊΠ° ΠΈ Ρ‚ΠΎΡ‡ΠΊΠ° с Π·Π°ΠΏΡΡ‚ΠΎΠΉ Π½Π΅ Π΄ΠΎΠΏΡƒΡΠΊΠ°ΡŽΡ‚ся);

Β· Π΄ΠΎ 8 символов Π² ΠΈΠΌΠ΅Π½ΠΈ Ρ„Π°ΠΉΠ»Π° (Π² Ρ‚ΠΎΠΌ числС ΠΈ ΠΏΡ€ΠΈ Ρ€Π°Π±ΠΎΡ‚Π΅ Π² ΡΡ€Π΅Π΄Π΅ Windows);

Β· ΠΌΠ½ΠΎΠ³ΠΎΡˆΠ°Π³ΠΎΠ²Ρ‹ΠΉ «ΠΎΡ‚ΠΊΠ°Ρ‚» Π²ΠΏΠ΅Ρ€Π΅Π΄ ΠΈ Π½Π°Π·Π°Π΄. По ΡƒΠΌΠΎΠ»Ρ‡Π°Π½ΠΈΡŽ количСство Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°Π΅ΠΌΡ‹Ρ… шагов установлСно Ρ€Π°Π²Π½Ρ‹ΠΌ 10, Π½ΠΎ ΡΡ‚Ρƒ Π²Π΅Π»ΠΈΡ‡ΠΈΠ½Ρƒ ΠΌΠΎΠΆΠ½ΠΎ ΠΏΡ€ΠΈ нСобходимости ΠΈΠ·ΠΌΠ΅Π½ΠΈΡ‚ΡŒ, рСдактируя Ρ„Π°ΠΉΠ» ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΈ *.ini.

Β· ΠΌΠΈΠ½ΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ шаг сСтки 0,1 mil Π² Π°Π½Π³Π»ΠΈΠΉΡΠΊΠΎΠΉ систСмС ΠΈ 0,001 ΠΌΠΌ Π² ΠΌΠ΅Ρ‚ричСской систСмС (1 mil = 0,001 дюйма = 0,0254 ΠΌΠΌ, 1 ΠΌΠΌ = 40 mil). БистСму Π΅Π΄ΠΈΠ½ΠΈΡ† ΠΌΠΎΠΆΠ½ΠΎ ΠΈΠ·ΠΌΠ΅Π½ΡΡ‚ΡŒ Π² Π»ΡŽΠ±ΠΎΠΉ Ρ„Π°Π·Π΅ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°. 4]

ГрафичСский Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… схСм P-CAD Schematic:

Β· Π΄ΠΎ 99 листов схСм Π² ΠΎΠ΄Π½ΠΎΠΌ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅, ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ Ρ€Π°Π·ΠΌΠ΅Ρ€ листа 60×60 дюймов;

Β· ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° стандартных Ρ„ΠΎΡ€ΠΌΠ°Ρ‚ΠΎΠ² листов ΠΎΡ‚ А Π΄ΠΎ Π•, АО-А4 ΠΈ Π΄Ρ€. Ρ„ΠΎΡ€ΠΌΠ°Ρ‚ΠΎΠ²;

Β· Π΄ΠΈΡΠΊΡ€Π΅Ρ‚Π½ΠΎΡΡ‚ΡŒ ΡƒΠ³Π»Π° ΠΏΠΎΠ²ΠΎΡ€ΠΎΡ‚Π° ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° 90Β°;

Β· Ρ€Π°Π±ΠΎΡ‚Π°Π΅Ρ‚ ΡƒΡ‚ΠΈΠ»ΠΈΡ‚Π° ERC для просмотра ΠΈ ΡΠΎΡ€Ρ‚ΠΈΡ€ΠΎΠ²ΠΊΠΈ ошибок Π² ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… схСмах;

Β· пСрСкрСстныС связи ΠΌΠ΅ΠΆΠ΄Ρƒ P-CAD Schematic ΠΈ P-CAD Π Π‘Π’ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ для Π²Ρ‹Π±Ρ€Π°Π½Π½ΠΎΠΉ Π½Π° ΡΡ…Π΅ΠΌΠ΅ Ρ†Π΅ΠΏΠΈ Π²Ρ‹ΡΠ²Π΅Ρ‚ΠΈΡ‚ΡŒ Π½Π° ΠŸΠŸ ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠΉ Π΅ΠΉ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊ ΠΈ Π½Π°ΠΎΠ±ΠΎΡ€ΠΎΡ‚;

Β· Π²ΠΎΠ·ΠΌΠΎΠΆΠ½Π° ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡Π° Π΄Π°Π½Π½Ρ‹Ρ… Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ модСлирования Dr. Spice A/D.

ГрафичСский Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚, P-CAD Π Π‘Π’:

Β· Π΄ΠΎ 99 слоСв Π² ΠŸΠŸ, ΠΈΠ· Π½ΠΈΡ… 11 слоСв ΠΏΡ€Π΅Π΄Π²Π°Ρ€ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Ρ‹;

Β· ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ Ρ€Π°Π·ΠΌΠ΅Ρ€ ΠŸΠŸ 60×60 дюймов;

Β· автоматичСская коррСкция ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… схСм ΠΏΠΎ ΠΈΠ·ΠΌΠ΅Π½Π΅Π½ΠΈΡΠΌ Π² ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Π΅ ΠΈ Π½Π°ΠΎΠ±ΠΎΡ€ΠΎΡ‚ (коррСкция «Π½Π°Π·Π°Π΄» ΠΈ «Π²ΠΏΠ΅Ρ€Π΅Π΄»);

Β· Π΄ΠΎ 64 000 Ρ‚ΠΈΠΏΠΎΠ² ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½Ρ‹Ρ… ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΎΠΊ Π² ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅;

Β· ΡˆΠΈΡ€ΠΈΠ½Π° ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠ° Π½Π° ΠŸΠŸ Π΄ΠΎ 10 ΠΌΠΌ;

Β· Π΄ΠΎ 64 000 стилСй стСков ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½Ρ‹Ρ… ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΎΠΊ Π² ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅;

Β· ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½Ρ‹Π΅ ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΊΠΈ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… Ρ„ΠΎΡ€ΠΌ: эллипс, ΠΎΠ²Π°Π», ΠΏΡ€ΡΠΌΠΎΡƒΠ³ΠΎΠ»ΡŒΠ½ΠΈΠΊ, скруглСнный ΠΏΡ€ΡΠΌΠΎΡƒΠ³ΠΎΠ»ΡŒΠ½ΠΈΠΊ, сквозноС ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½ΠΎΠ΅ отвСрстиС, ΠΏΠ΅Ρ€Π΅ΠΊΡ€Π΅ΡΡ‚ΡŒΠ΅ для свСрлСния (target), нСпосрСдствСнноС соСдинСниС, Ρ‚Π΅ΠΏΠ»ΠΎΠ²ΠΎΠΉ Π±Π°Ρ€ΡŒΠ΅Ρ€ с 2 ΠΈΠ»ΠΈ 4 ΠΏΠ΅Ρ€Π΅ΠΌΡ‹Ρ‡ΠΊΠ°ΠΌΠΈ;

Β· ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒ соблюдСния Π·Π°Π·ΠΎΡ€ΠΎΠ² ΠΈ ΠΏΠΎΠ»Π½ΠΎΡ‚Ρ‹ Ρ€Π°Π·Π²ΠΎΠ΄ΠΊΠΈ ΠŸΠŸ;

Β· ΠΌΠΈΠ½ΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ дискрСт ΡƒΠ³Π»Π° ΠΏΠΎΠ²ΠΎΡ€ΠΎΡ‚Π° тСкста ΠΈ Π³Ρ€Π°Ρ„ичСских ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ΠΎΠ² — 0,1 Π³Ρ€Π°Π΄;

Β· ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… Ρ„Π°ΠΉΠ»ΠΎΠ² Ρ„ΠΎΡ‚ΠΎΠΏΠ»ΠΎΡ‚Ρ‚Π΅Ρ€ΠΎΠ² Gerber ΠΈ ΡΠ²Π΅Ρ€Π»ΠΈΠ»ΡŒΠ½Ρ‹Ρ… станков с Π§ΠŸΠ£ Ρ‚ΠΈΠΏΠ° Excellon. [4]

6 Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ обСспСчСния

6.1 Π’Ρ‹Π±ΠΎΡ€ срСды программирования

На ΡΠ΅Π³ΠΎΠ΄Π½ΡΡˆΠ½ΠΈΠΉ дСнь всС ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Π΅ срСдства Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΌΠΎΠΆΠ½ΠΎ Ρ€Π°Π·Π΄Π΅Π»ΠΈΡ‚ΡŒ Π½Π° ΠΏΠ»Π°Ρ‚Π½Ρ‹Π΅ ΠΈ Π±Π΅ΡΠΏΠ»Π°Ρ‚Π½Ρ‹Π΅ ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚Ρ‹ с ΠΎΡ‚ΠΊΡ€Ρ‹Ρ‚Ρ‹ΠΌ ΠΊΠΎΠ΄ΠΎΠΌ (см. Ρ‚Π°Π±Π»ΠΈΡ†Ρƒ 6.1). Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Π΅ возмоТности Ρƒ Π²ΡΠ΅Ρ… ΠΏΠΎΠ»Π½ΠΎΡ†Π΅Π½Π½Ρ‹Ρ… ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠ² ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π½ΠΎ ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²Ρ‹Π΅.

Π’Π°Π±Π»ΠΈΡ†Π° 6.1

НазваниС ΠΏΠ°ΠΊΠ΅Ρ‚Π°

ΠŸΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° эмуляторов

Π―Π·Ρ‹ΠΊΠΈ ΠΈ ΡΡ‚Π°Π½Π΄Π°Ρ€Ρ‚Ρ‹

IAR

RDI, Wiggler, AT91SAM-ICE, J-INK

ANSI C Extended C++ (частичная ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° C++)

GNU GCC + Eclipse

RDI, Wiggler, AT91SAM-ICE, J-INK

ANSI CC99 (частичная ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° C++)

ICC Imagecraft

;

ANSI C

Компания IAR ΠΏΡ€Π΅Π΄Π»Π°Π³Π°Π΅Ρ‚ своим ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡΠΌ нСсколько вСрсий срСды Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ ΠΎΡ‚Π»ΠΈΡ‡Π°ΡŽΡ‚ΡΡ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹ΠΌΠΈ возмоТностями ΠΈ ΡΠ΅Ρ€Π²ΠΈΡΠ½ΠΎΠΉ ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠΎΠΉ. Π’ Ρ‚ΠΎΠΌ числС Π΅ΡΡ‚ΡŒ бСсплатная вСрсия IAR Kickstart, ограничСнная Π½Π° ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½ΡƒΡŽ Π΄Π»ΠΈΠ½Ρƒ Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ Π±ΠΈΠ½Π°Ρ€Π½ΠΎΠ³ΠΎ Ρ„Π°ΠΉΠ»Π° — Π΄ΠΎ 32 ΠšΠ±Π°ΠΉΡ‚. Π’ Ρ‚Π°ΠΊΠΎΠ΅ ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½ΠΈΠ΅ ΠΏΠΎΠΌΠ΅Ρ‰Π°ΡŽΡ‚ΡΡ нСбольшиС ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΡΠΊΠΈΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹, Π°ΠΊΡ‚ΠΈΠ²Π½ΠΎ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‰ΠΈΠ΅ стандартныС Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ языка C/C++. ΠŸΠ°ΠΊΠ΅Ρ‚ IAR Kickstart ΠΏΡ€Π΅Π΄Π»Π°Π³Π°Π΅Ρ‚ ΡΠΎΠ²Π΅Ρ€ΡˆΠ΅Π½Π½ΠΎ ΠΏΡ€ΠΈΠ²Ρ‹Ρ‡Π½ΡƒΡŽ IDE со ΡΡ‚Π°Π²ΡˆΠΈΠΌ классичСским интСрфСйсом. Π’ΠΏΠΎΠ»Π½Π΅ ΠΏΡ€ΠΈΠ»ΠΈΡ‡Π½Ρ‹ΠΉ Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€, Ρ…ΠΎΡ€ΠΎΡˆΠΈΠ΅ возмоТности ΠΏΠΎ ΠΎΡ‚Π»Π°Π΄ΠΊΠ΅ с ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ JTAGэмулятора: просмотр ΠΈ ΠΏΡ€Π°Π²ΠΊΠ° рСгистров, памяти, watch, использованиС условных Ρ‚ΠΎΡ‡Π΅ΠΊ остановок. Π•ΡΡ‚ΡŒ ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° ΠΊΠ°ΠΊ эмуляторов ΠΎΡ‚ Segger, Ρ‚Π°ΠΊ ΠΈ Π±ΠΎΠ»Π΅Π΅ Π΄Π΅ΡˆΠ΅Π²Ρ‹Ρ…, Ρ‚ΠΈΠΏΠ° Wiggler, Ρ‡Π΅Ρ€Π΅Π· внСшний RDI-сСрвСр. Π‘Π»ΠΎΠ²ΠΎΠΌ — всС, Ρ‡Ρ‚ΠΎ Π½ΡƒΠΆΠ½ΠΎ для ΠΏΠΎΠ»Π½ΠΎΡ†Π΅Π½Π½ΠΎΠΉ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΡΠΊΠΎΠΉ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹. ΠžΠΏΡ‚ΠΈΠΌΠΈΠ·ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠΉ компилятор IAR позволяСт ΠΏΠΎΠ²Ρ‹ΡΠΈΡ‚ΡŒ ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒ выполнСния ΠΊΠΎΠ΄Π° ΠΈΠ½ΠΎΠ³Π΄Π° Π² Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΎ Ρ€Π°Π·.

ΠΠ»ΡŒΡ‚Π΅Ρ€Π½Π°Ρ‚ΠΈΠ²ΠΎΠΉ коммСрчСской срСдС ΠΎΡ‚ IAR являСтся открытая ΠΈ Π±Π΅ΡΠΏΠ»Π°Ρ‚ная срСда Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ Π½Π° ΠΏΠ»Π°Ρ‚Ρ„ΠΎΡ€ΠΌΠ΅ Eclipse ΠΈ ΠΊΠΎΠΌΠΏΠΈΠ»ΡΡ‚ΠΎΡ€Π΅ GNU GCC. ΠžΡ‚ IAR ΠΎΠ½Π° отличаСтся Π±ΠΎΠ»Π΅Π΅ Π±ΠΎΠ³Π°Ρ‚Ρ‹ΠΌΠΈ возмоТностями Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€Π° ΠΊΠΎΠ΄Π°, отсутствиСм ΠΊΠ°ΠΊΠΈΡ…-Π»ΠΈΠ±ΠΎ ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½ΠΈΠΉ Π½Π° Ρ€Π°Π·ΠΌΠ΅Ρ€ Ρ„Π°ΠΉΠ»ΠΎΠ². К Π½Π΅Π΄ΠΎΡΡ‚Π°Ρ‚ΠΊΠ°ΠΌ этого Ρ€Π΅ΡˆΠ΅Π½ΠΈΡ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ отнСсти ΠΎΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ Π±ΠΎΠ»Π΅Π΅ ΡΠ»ΠΎΠΆΠ½ΡƒΡŽ ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρƒ настройки срСды ΠΏΠ΅Ρ€Π΅Π΄ ΠΏΠ΅Ρ€Π²Ρ‹ΠΌ использованиСм. Набор ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅ΠΌΡ‹Ρ… JTAG-эмуляторов ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π½ΠΎ ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²Ρ‹ΠΉ. ПослС Ρ‚ΠΎΠ³ΠΎ, ΠΊΠ°ΠΊ компания Segger выпустила GDB-сСрвСр для своих эмуляторов, Π² ΡΡ€Π΅Π΄Π΅ Eclipse + GCC появилась Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ использования всСй Π»ΠΈΠ½Π΅ΠΉΠΊΠΈ эмуляторов ΠΎΡ‚ Segger. Π‘Π»ΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ настройки Π΄Π΅Ρ‚Π°Π»Π΅ΠΉ компиляции ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ² (Π»ΠΈΠ½ΠΊΠΎΠ²ΠΊΠ°, ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ, ΠΈ Ρ‚. Π΄.) ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π½ΠΎ одинаковая. ΠžΠΏΡ‚ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΡ Π² GCC Π½Π΅Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ уступаСт IAR.

ΠžΡ‚Π»ΠΈΡ‡ΠΈΠΉ Ρƒ ΡΡ‚ΠΈΡ… ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠ² ΠΌΠ½ΠΎΠ³ΠΎ, поэтому всС зависит ΠΎΡ‚ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚Π΅ΠΉ ΠΏΠΎ ΠΏΠΎΠΊΡƒΠΏΠΊΠ΅ коммСрчСской срСды ΠΈ ΠΏΡ€Π΅Π΄Ρ‹Π΄ΡƒΡ‰Π΅Π³ΠΎ ΠΎΠΏΡ‹Ρ‚Π° Ρ€Π°Π±ΠΎΡ‚Ρ‹. Π Π°Π±ΠΎΡ‚Π° Π² ΡΡ€Π΅Π΄Π΅ IAR ΠΏΠΎΠ΄ Ρ€Π°Π·Π½Ρ‹ΠΌΠΈ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π°ΠΌΠΈ практичСски Π½Π΅ ΠΎΡ‚личаСтся. Π‘ Eclipse, Π½Π° ΠΏΠ΅Ρ€Π²Ρ‹ΠΉ взгляд, всС нСсколько слоТнСС, Π½ΠΎ ΠΊ ΠΈΠ½Ρ‚ΡƒΠΈΡ‚ΠΈΠ²Π½ΠΎ понятному интСрфСйсу ΠΏΡ€ΠΈΠ²Ρ‹ΠΊΠ½ΡƒΡ‚ΡŒ Π½Π΅Ρ‚Ρ€ΡƒΠ΄Π½ΠΎ. Π”ΠΈΠ°Π»Π΅ΠΊΡ‚Ρ‹ Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠΉ языка CΠΈ Ρƒ IAR ΠΈ GCC Ρ€Π°Π·Π½Ρ‹Π΅. Π’ ΠΎΡΠ½ΠΎΠ²Π½ΠΎΠΌ это касаСтся Ρ€Π°Π±ΠΎΡ‚Ρ‹ с ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ ΠΈ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΡΠΌΠΈ, поэтому простого пСрСноса ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° ΠΈΠ· ΠΎΠ΄Π½ΠΎΠΉ срСды Π² Π΄Ρ€ΡƒΠ³ΡƒΡŽ Π½Π΅ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚ся. Однако, чисто алгоритмичСский ΠΊΠΎΠ΄ Π² Ρ€Π°ΠΌΠΊΠ°Ρ… стандарта, пСрСносится Π±Π΅Π· ΠΊΠ°ΠΊΠΈΡ…-Π»ΠΈΠ±ΠΎ трудностСй. Для своих ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² Atmel ΠΏΡ€Π΅Π΄Π»Π°Π³Π°Π΅Ρ‚ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡΠΌ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΡƒ для Ρ€Π°Π±ΠΎΡ‚Ρ‹ с ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠ΅ΠΉ, использованиС этой Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ ΠΏΠΎΠ·Π²ΠΎΠ»ΠΈΡ‚ ΡƒΠΏΡ€ΠΎΡΡ‚ΠΈΡ‚ΡŒ ΠΈ ΡƒΡΠΊΠΎΡ€ΠΈΡ‚ΡŒ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΡƒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠΉ ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‰Π΅ΠΉ этого Π΄ΠΈΠΏΠ»ΠΎΠΌΠ½ΠΎΠ³ΠΎ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°. БущСствуСт вСрсия Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ IAR ΠΈ Π΄Π»Ρ Ρ€Π°Π±ΠΎΡ‚Ρ‹ с GCC.

Π’ Π΄Π°Π½Π½ΠΎΠΌ Π΄ΠΈΠΏΠ»ΠΎΠΌΠ½ΠΎΠΌ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅ мною Π±Ρ‹Π»ΠΎ Π²Ρ‹Π±Ρ€Π°Π½Π° свободно распространяСмый инструмСнт, ΠΏΠΎΡ‚ΠΎΠΌΡƒ Ρ‡Ρ‚ΠΎ ΠΎΠ½ Π½Π΅ ΠΈΠΌΠ΅Π΅Ρ‚ ограничСния Π² Ρ€Π°Π·ΠΌΠ΅Ρ€Π΅ ΠΊΠΎΠΌΠΏΠΈΠ»ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ ΠΊΠΎΠ΄Π° ΠΈ Ρ€Π°ΡΠΏΡ€ΠΎΡΡ‚раняСтся ΠΏΠΎΠ΄ свободной Π»ΠΈΡ†Π΅Π½Π·ΠΈΠ΅ΠΉ, Ρ‡Ρ‚ΠΎ Π½Π΅ΠΌΠ°Π»ΠΎΠ²Π°ΠΆΠ½ΠΎ ΠΏΡ€ΠΈ дальнСйшСм использованиС Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ Π² ΠΎΠ±ΡƒΡ‡Π΅Π½ΠΈΠ΅.

6.2 ΠžΡΠΎΠ±Π΅Π½Π½ΠΎΡΡ‚ΠΈ программирования ΠΎΠ΄Π½ΠΎΠΊΡ€ΠΈΡΡ‚Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° сСрии AT91

ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ (ΠΈΠ»ΠΈ ΠΎΠ΄Π½ΠΎΠΊΡ€ΠΈΡΡ‚Π°Π»ΡŒΠ½Ρ‹Π΅ ΠΌΠΈΠΊΡ€ΠΎΠ­Π’Πœ) ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²Π»ΡΡŽΡ‚ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΉ класс микропроцСссорных систСм (МПБ), составныС части ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… (Ρ†Π΅Π½Ρ‚Ρ€Π°Π»ΡŒΠ½Ρ‹ΠΉ процСссор, ΠΏΠ°ΠΌΡΡ‚ΡŒ, подсистСмы Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π°, срСдства ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠΈ Ρ€Π΅ΠΆΠΈΠΌΠ° Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ) Ρ€Π°Π·ΠΌΠ΅Ρ‰Π΅Π½Ρ‹ Π½Π° ΠΎΠ΄Π½ΠΎΠΌ кристаллС. Они ΠΎΡ€ΠΈΠ΅Π½Ρ‚ΠΈΡ€ΠΎΠ²Π°Π½Ρ‹ Π½Π° ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ встраиваСмых Π² ΠΈΠ·Π΄Π΅Π»ΠΈΠ΅ Π½Π΅Π΄ΠΎΡ€ΠΎΠ³ΠΈΡ… ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… МПБ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, рабочая ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… располоТСна Π²ΠΎ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅ΠΌ ΠŸΠ—Π£.

Π‘ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Π΅ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ ΠΎΠ±Π»Π°Π΄Π°ΡŽΡ‚ Ρ‚Π°ΠΊΠΈΠΌΠΈ Π²Ρ‹Ρ‡ΠΈΡΠ»ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ рСсурсами ΠΈ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ями управлСния Π² Ρ€Π΅ΠΆΠΈΠΌΠ΅ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, для получСния ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Ρ€Π°Π½ΡŒΡˆΠ΅ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡ‹ Π±Ρ‹Π»ΠΈ Π±ΠΎΠ»Π΅Π΅ Π΄ΠΎΡ€ΠΎΠ³ΠΈΠ΅ ΠΌΠ½ΠΎΠ³ΠΎΠΊΡ€ΠΈΡΡ‚Π°Π»ΡŒΠ½Ρ‹Π΅ ΠΊΠΎΠΌΠΏΠΎΠ½ΠΎΠ²ΠΊΠΈ.

6.3 ΠšΡ€Π°Ρ‚ΠΊΠΎΠ΅ описаниС сСмСйства sam9

Π―Π΄Ρ€ΠΎ ARM9TDMI являСтся Ρ€Π°Π·Π²ΠΈΡ‚ΠΈΠ΅ΠΌ ARM7Π’DMI ΠΈ ΡΠΎΠ²ΠΌΠ΅ΡΡ‚ΠΈΠΌΠΎ с Π½ΠΈΠΌ Π½Π° ΡƒΡ€ΠΎΠ²Π½Π΅ исходных ΠΊΠΎΠ΄ΠΎΠ². ΠŸΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅Ρ‚ΡΡ Π΄Π²Π° Π½Π°Π±ΠΎΡ€Π° ΠΊΠΎΠΌΠ°Π½Π΄, 32-Π±ΠΈΡ‚Π½Ρ‹ΠΉ Π½Π°Π±ΠΎΡ€ ARM ΠΈ 16-Π±ΠΈΡ‚Π½Ρ‹ΠΉ Π½Π°Π±ΠΎΡ€ THUMB, ΡΠ²Π»ΡΡŽΡ‰ΠΈΠΉΡΡ подмноТСством Π½Π°Π±ΠΎΡ€Π° ARM. Π’ Ρ€ΡΠ΄Π΅ ΠΏΡ€ΠΈΠ»ΠΎΠΆΠ΅Π½ΠΈΠΉ использованиС Π½Π°Π±ΠΎΡ€Π° ΠΊΠΎΠΌΠ°Π½Π΄ THUMB ΠΏΡ€ΠΈΠ²ΠΎΠ΄ΠΈΡ‚ ΠΊ ΡƒΠΌΠ΅Π½ΡŒΡˆΠ΅Π½ΠΈΡŽ Ρ€Π°Π·ΠΌΠ΅Ρ€Π° ΠΊΠΎΠ΄Π° ΠΈ Π±ΠΎΠ»Π΅Π΅ быстрому Π΅Π³ΠΎ Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΡŽ. Π’ ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ ΠΌΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌ ARM7, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ Π½Π° ΡΠ΄Ρ€Π΅ ARM9, ΠΊΠ°ΠΊ ΠΏΡ€Π°Π²ΠΈΠ»ΠΎ, ΠΈΠΌΠ΅ΡŽΡ‚ Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅ кэш-ΠΏΠ°ΠΌΡΡ‚ΡŒ ΠΊΠΎΠΌΠ°Π½Π΄ ΠΈ Π΄Π°Π½Π½Ρ‹Ρ…, Ρ‡Ρ‚ΠΎ ΠΏΠΎΠ²Ρ‹ΡˆΠ°Π΅Ρ‚ ΠΎΠ±Ρ‰ΡƒΡŽ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ процСссора.

ΠœΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΡ‹ ΠΈΠΌΠ΅ΡŽΡ‚ Ρ€Π°Π·Π΄Π΅Π»ΡŒΠ½ΠΎΠ΅ ΠΏΠΈΡ‚Π°Π½ΠΈΠ΅ ядра (1,3 ΠΈΠ»ΠΈ 1,8 Π’) ΠΈ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ — 3,3 Π’. ΠŸΡ€ΠΈΡ‡Π΅ΠΌ, напряТСниС питания ядра ΠΌΠΎΠΆΠ½ΠΎ Π²Π°Ρ€ΡŒΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ Π² Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Π΅ 1,65…1,95 Π’ (1,08…1,32 Π’), управляя потрСбляСмой ΠΌΠΎΡ‰Π½ΠΎΡΡ‚ΡŒΡŽ ΠΈ ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½ΠΎΠΉ Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠΉ частотой ядра ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. Π’Π°ΠΊΠΎΠ΅ сочСтаниС ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² позволяСт ΠΏΡ€ΠΈΠΌΠ΅Π½ΡΡ‚ΡŒ ΠœΠš ARM9 Π² ΡΠ°ΠΌΡ‹Ρ… Ρ€Π°Π·Π½Ρ‹Ρ… прилоТСниях, Π² Ρ‚ΠΎΠΌ числС для построСния Π½ΠΈΠ·ΠΊΠΎΠΏΠΎΡ‚Ρ€Π΅Π±Π»ΡΡŽΡ‰ΠΈΡ… систСм, Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‰ΠΈΡ… Π² Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠΌ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ. Для ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ внутрисхСмный эмулятор AT91SAM-ICE, Ρ‚ΠΎΡ‚ ΠΆΠ΅, Ρ‡Ρ‚ΠΎ ΠΈ Π΄Π»Ρ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² AT91SAM7, Π»ΠΈΠ±ΠΎ Wiggler-совмСстимый Π³Π°Π»ΡŒΠ²Π°Π½ΠΈΡ‡Π΅ΡΠΊΠΈ развязанный эмулятор AS-JTAG.

ВсС ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ ATMEL сСмСйства ARM9 содСрТат ΠΌΠΎΠ΄ΡƒΠ»ΡŒ управлСния ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ (MMU, Memory Management Unit). Π­Ρ‚ΠΎΡ‚ ΠΌΠΎΠ΄ΡƒΠ»ΡŒ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌ для ΠΏΠΎΠ»Π½ΠΎΡ†Π΅Π½Π½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Ρ… систСм класса Linux ΠΈΠ»ΠΈ Windows.

ΠžΡ‚Π»ΠΈΡ‡ΠΈΡ‚Π΅Π»ΡŒΠ½Π°Ρ ΠΎΡΠΎΠ±Π΅Π½Π½ΠΎΡΡ‚ΡŒ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΊΠΎΡ€ΠΏΠΎΡ€Π°Ρ†ΠΈΠΈ ATMEL (это касаСтся практичСски любого сСмСйства) — Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅ ΠΎΠ±ΡˆΠΈΡ€Π½ΠΎΠΉ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΈ. ЀирмСнная Ρ‡Π΅Ρ€Ρ‚Π° ΠœΠš Ρ„ΠΈΡ€ΠΌΡ‹ ATMEL — Ρ…ΠΎΡ€ΠΎΡˆΠΎ продуманная тСхнология ΠΎΠ±ΠΌΠ΅Π½Π° Π΄Π°Π½Π½Ρ‹ΠΌΠΈ ΠΌΠ΅ΠΆΠ΄Ρƒ ядром ΠΈ ΠΏΠΎΡ€Ρ‚Π°ΠΌΠΈ Π²Π²ΠΎΠ΄Π°/Π²Ρ‹Π²ΠΎΠ΄Π°. ОбмСн происходит ΠΏΠΎΠ΄ ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ΠΌ многоканального ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½ΠΎΠ³ΠΎ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° прямого доступа ΠΊ ΠΏΠ°ΠΌΡΡ‚ΠΈ (PDC — Peripheral DMA Controller), ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π½Π°ΠΏΡ€ΡΠΌΡƒΡŽ осущСствляСт ΠΎΠ±ΠΌΠ΅Π½ Π΄Π°Π½Π½Ρ‹ΠΌΠΈ ΠΌΠ΅ΠΆΠ΄Ρƒ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹ΠΌΠΈ устройствами, Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΠΌΠΈ рСгистрами ΠΈ Π²Π½Π΅ΡˆΠ½Π΅ΠΉ ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ.

Как ΠΏΡ€Π°Π²ΠΈΠ»ΠΎ, ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹ΠΉ ΠΌΠΎΠ΄ΡƒΠ»ΡŒ ΠœΠš AT91 ΠΈΠΌΠ΅Π΅Ρ‚ Π΄Π²Π° Π²Ρ‹Π΄Π΅Π»Π΅Π½Π½Ρ‹Ρ… ΠΊΠ°Π½Π°Π»Π° PDC, ΠΎΠ΄ΠΈΠ½ для ΠΏΡ€ΠΈΠ΅ΠΌΠ° Π΄Π°Π½Π½Ρ‹Ρ…, Π΄Ρ€ΡƒΠ³ΠΎΠΉ — для ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ. ΠšΠ°ΠΆΠ΄Ρ‹ΠΉ ΠΊΠ°Π½Π°Π» ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½ΠΎΠ³ΠΎ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° PDC содСрТит 32-Π±ΠΈΡ‚Π½Ρ‹ΠΉ рСгистр-ΡƒΠΊΠ°Π·Π°Ρ‚Π΅Π»ΡŒ адрСса, 16-Π±ΠΈΡ‚Π½Ρ‹ΠΉ рСгистр-счСтчик пСрСсылок, 32-Π±ΠΈΡ‚Π½Ρ‹ΠΉ рСгистр для указатСля ΡΠ»Π΅Π΄ΡƒΡŽΡ‰Π΅Π³ΠΎ адрСса памяти ΠΈ 16-Π±ΠΈΡ‚Π½Ρ‹ΠΉ рСгистр-счСтчик для ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΡ… пСрСсылок. ΠŸΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Π΅ ΠΌΠΎΠ΄ΡƒΠ»ΠΈ ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ ΠΏΠΎΡ‚ΠΎΠΊΠΈ Π΄Π°Π½Π½Ρ‹Ρ… PDC, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡ сигналы ΠΏΡ€ΠΈΠ΅ΠΌΠ°-ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ. По ΠΎΠΊΠΎΠ½Ρ‡Π°Π½ΠΈΠΈ пСрСсылки ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ Π±Π»ΠΎΠΊΠ° Π΄Π°Π½Π½Ρ‹Ρ… ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠΉ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹ΠΉ ΠΌΠΎΠ΄ΡƒΠ»ΡŒ Π³Π΅Π½Π΅Ρ€ΠΈΡ€ΡƒΠ΅Ρ‚ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠ΅ окончания пСрСсылки. АвтоматичСски начинаСтся пСрСсылка Π²Ρ‚ΠΎΡ€ΠΎΠ³ΠΎ Π±Π»ΠΎΠΊΠ° Π΄Π°Π½Π½Ρ‹Ρ…, Π° ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° Π΄Π°Π½Π½Ρ‹Ρ… ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ Π±Π»ΠΎΠΊΠ° ΠΌΠΎΠΆΠ΅Ρ‚ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒΡΡ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½ΠΎ процСссором ARM, Ρ‚Π΅ΠΌ самым ΠΎΠ±Ρ…ΠΎΠ΄ΡΡΡŒ Π±Π΅Π· «ΠΌΠ΅Π΄Π»Π΅Π½Π½Ρ‹Ρ…» ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ Π² Ρ€Π΅ΠΆΠΈΠΌΠ΅ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, Π·Π°ΠΌΠ΅Π΄Π»ΡΡŽΡ‰ΠΈΡ… ΠΎΠ±Π½ΠΎΠ²Π»Π΅Π½ΠΈΠ΅ рСгистров-ΡƒΠΊΠ°Π·Π°Ρ‚Π΅Π»Π΅ΠΉ Π² ΠΏΡ€ΠΎΡ†Π΅ΡΡΠΎΡ€Π΅. Π’Π°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ обСспСчиваСтся высокоскоростная пСрСсылка Π΄Π°Π½Π½Ρ‹Ρ… Π² ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹ΠΉ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€. PDC ΠΈΠΌΠ΅Π΅Ρ‚ Π²Ρ‹Π΄Π΅Π»Π΅Π½Π½Ρ‹Π΅ рСгистры состояния, ΡƒΠΊΠ°Π·Ρ‹Π²Π°ΡŽΡ‰ΠΈΠ΅ для ΠΊΠ°ΠΆΠ΄ΠΎΠ³ΠΎ ΠΊΠ°Π½Π°Π»Π° Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ ΠΈΠ»ΠΈ Π½Π΅Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ пСрСсылки. Π’ Π»ΡŽΠ±ΠΎΠΉ ΠΌΠΎΠΌΠ΅Π½Ρ‚ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΌΠΎΠΆΠ½ΠΎ ΡΡ‡ΠΈΡ‚Π°Ρ‚ΡŒ ΠΈΠ· ΠΏΠ°ΠΌΡΡ‚ΠΈ адрСс размСщСния ΠΎΡ‡Π΅Ρ€Π΅Π΄Π½ΠΎΠΉ пСрСсылки ΠΈ ΠΊΠΎΠ»ΠΈΡ‡Π΅ΡΡ‚Π²ΠΎ ΠΎΡΡ‚Π°Π²ΡˆΠΈΡ…ΡΡ пСрСсылок. 21]

6.4 Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€Π° Π±Π°Π·ΠΎΠ²ΠΎΠ³ΠΎ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° сСмСйства AT91

Π ΠΎΠ΄ΠΎΠ½Π°Ρ‡Π°Π»ΡŒΠ½ΠΈΠΊΠΎΠΌ сСмСйства ARM9 Ρƒ ATMEL являСтся AT91RM9200, созданный Π½Π° ΡΠ΄Ρ€Π΅ ARM920Π’DMI. Π­Ρ‚ΠΎΡ‚ МК выпускаСтся ΡƒΠΆΠ΅ нСсколько Π»Π΅Ρ‚, ΠΈ ΠΈΠ·Π΄Π΅Π»ΠΈΡ Π½Π° Π΅Π³ΠΎ основС производят ΠΌΠ½ΠΎΠ³ΠΈΠ΅ российскиС ΠΊΠΎΠΌΠΏΠ°Π½ΠΈΠΈ. ΠžΡ‚ΠΌΠ΅Ρ‚ΠΈΠΌ, Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ число Π² ΠœΠš встроСнных ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… интСрфСйсов — USB Host Full Speed, USB device Full Speed, 100 Mbit Ethernet, Π° Ρ‚Π°ΠΊΠΆΠ΅ «ΡΡ‚Π°Π½Π΄Π°Ρ€Ρ‚Π½Ρ‹Π΅» интСрфСйсы — UART/USART/SPI/MCI/SSC/TWI (I2C). ΠœΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΠ° ΠΈΠΌΠ΅Π΅Ρ‚ Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅ масочноС ΠŸΠ—Π£ (ROM) объСмом 128 ΠšΠ±Π°ΠΉΡ‚, Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΌ хранятся ΡƒΡ‚ΠΈΠ»ΠΈΡ‚Ρ‹, ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‰ΠΈΠ΅ Ρ€Π°Π±ΠΎΡ‚Ρƒ Π² Ρ‚Π΅Ρ€ΠΌΠΈΠ½Π°Π»ΡŒΠ½ΠΎΠΌ Ρ€Π΅ΠΆΠΈΠΌΠ΅, Π° Ρ‚Π°ΠΊΠΆΠ΅ ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°ΡŽΡ‰ΠΈΠ΅ ΠΎΠ±ΠΌΠ΅Π½ ΠΏΠΎ ΠΈΠ½Ρ‚СрфСйсу Ethernet.

ΠŸΠΎΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ микросхСмы сСмСйства ARM9 построСны Π½Π° Π±ΠΎΠ»Π΅Π΅ ΡΠΎΠ²Π΅Ρ€ΡˆΠ΅Π½Π½ΠΎΠΌ ядрС ARM926EJ-S с ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠΎΠΉ DSP-ΠΊΠΎΠΌΠ°Π½Π΄ ΠΈ ΠΎΡΠ½Π°Ρ‰Π΅Π½Π½ΠΎΠΌ JAVA-аксСлСратором. Они ΠΈΠΌΠ΅ΡŽΡ‚ Π½Π°Π·Π²Π°Π½ΠΈΠ΅ Smart ARM9 ΠΈΠ»ΠΈ SAM9. Π’Π°ΠΊΠΎΠ΅ Π½Π°Π·Π²Π°Π½ΠΈΠ΅ ΠΏΠΎΠ΄Ρ€Π°Π·ΡƒΠΌΠ΅Π²Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ Π½ΠΎΠ²Ρ‹Π΅ микросхСмы Π½Π°Π΄Π΅Π»Π΅Π½Ρ‹ Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ функциями, Ρ€Π°ΡΡˆΠΈΡ€ΡΡŽΡ‰ΠΈΠΌΠΈ возмоТности ΠΈ, ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎ, ΡƒΠΏΡ€ΠΎΡ‰Π°ΡŽΡ‰ΠΈΠΌΠΈ Ρ€Π°Π±ΠΎΡ‚Ρƒ с ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°ΠΌΠΈ. Π’ Ρ‡Π°ΡΡ‚ности, эти микросхСмы ΠΈΠΌΠ΅ΡŽΡ‚ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ внСшнСй Ρ„Π»ΡΡˆ-памяти прямо Ρ‡Π΅Ρ€Π΅Π· ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡ Π΅Π³ΠΎ Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€Π°. Для Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° SAM-BA (Smart ARM Boot Assistance). ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° Ρ‚Π°ΠΊΠΆΠ΅ позволяСт ΠΏΡ€ΠΎΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°Ρ‚ΡŒ содСрТимоС ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΈΠ²Π½ΠΎΠΉ памяти. Π’Π½Π΅ΡˆΠ½ΠΈΠΉ Π²ΠΈΠ΄ Π³Π»Π°Π²Π½ΠΎΠ³ΠΎ ΠΎΠΊΠ½Π° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ прСдставлСн Π½Π° Ρ€ΠΈΡΡƒΠ½ΠΊΠ΅ 6.1.

Π ΠΈc. 6.1 Π’Π½Π΅ΡˆΠ½ΠΈΠΉ Π²ΠΈΠ΄ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ SAM-BA

Π‘Π»Π΅Π΄ΡƒΡŽΡ‰Π°Ρ микросхСма — AT91SAM9260. Π£ Π½Π΅Π΅ число Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… шин ΡƒΠ²Π΅Π»ΠΈΡ‡Π΅Π½ΠΎ Π΄ΠΎ ΡˆΠ΅ΡΡ‚ΠΈ, ΠΏΡ€ΠΈ этом пиковая ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒ ΠΎΠ±ΠΌΠ΅Π½Π° Π΄Π°Π½Π½Ρ‹ΠΌΠΈ составляСт 19,2 Π“Π±ΠΈΡ‚/с. На ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅ Ρ€Π°Π·ΠΌΠ΅Ρ‰Π΅Π½ ΠΌΠΎΠ΄ΡƒΠ»ΡŒ видСоинтСрфСйса ISI (Image Sensor Interface), Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‰ΠΈΠΉ с Ρ†Π²Π΅Ρ‚Π½ΠΎΠΉ CMOS-ΠΌΠ°Ρ‚Ρ€ΠΈΡ†Π΅ΠΉ. ΠžΡΠ½ΠΎΠ²Π½Ρ‹Π΅ тСхничСскиС ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ AT91SAM9260 ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Ρ‹ Π½ΠΈΠΆΠ΅.

Β· ядро — ARM926EJ-S™ ARM® Thumb®: DSP Instruction Extensions:

Β· 6-слойная шинная ΠΌΠ°Ρ‚Ρ€ΠΈΡ†Π° (32-разряда Π§ 6);

Β· ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ 230 MIPS Π½Π° Ρ‡Π°ΡΡ‚ΠΎΡ‚Π΅ 210 ΠœΠ“Ρ†;

Β· 8 ΠšΠ±Π°ΠΉΡ‚ кэш Π΄Π°Π½Π½Ρ‹Ρ…, 8 ΠšΠ±Π°ΠΉΡ‚ кэш-ΠΊΠΎΠΌΠ°Π½Π΄, Π±ΡƒΡ„Π΅Ρ€ записи;

Β· интСрфСйс ΠΊ Π²Π½ΡƒΡ‚рисхСмному эмулятору (JTAG);

Β· ΠΊΠΎΠΌΠΌΡƒΠ½ΠΈΠΊΠ°Ρ†ΠΈΠΎΠ½Π½Ρ‹ΠΉ ΠΎΡ‚Π»Π°Π΄ΠΎΡ‡Π½Ρ‹ΠΌ ΠΊΠ°Π½Π°Π»ΠΎΠΌ (Debug UART);

Β· Π±Ρ‹ΡΡ‚Ρ€ΠΎΠ΄Π΅ΠΉΡΡ‚Π²ΡƒΡŽΡ‰Π°Ρ ΠΏΠ°ΠΌΡΡ‚ΡŒ;

Β· 8 ΠšΠ±Π°ΠΉΡ‚ ΠžΠ—Π£ ΠΈ 32 ΠšΠ±Π°ΠΉΡ‚ масочноС ΠŸΠ—Π£;

Β· внСшняя интСрфСйсная шина (EBI);

Β· ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° памяти SDRAM, Burst flash, Compactflash®, SmartMedia™ ΠΈ NAND;

Β· систСмная пСрифСрия;

Β· Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½Π½Ρ‹ΠΉ Ρ‚Π°ΠΊΡ‚ΠΎΠ²Ρ‹ΠΉ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ ΠΈ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ управлСния энСргопотрСблСниСм;

Β· Π΄Π²Π° встроСнных осциллятора с Π€ΠΠŸΠ§;

Β· Ρ‡Π΅Ρ‚Ρ‹Ρ€Π΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… источника тактирования.

Π’Π°ΠΉΠΌΠ΅Ρ€Ρ‹:

Β· Ρ‚Π°ΠΉΠΌΠ΅Ρ€ часов Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ с ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΌ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠ΅ΠΌ;

Β· ΠΈΠ½Ρ‚Π΅Ρ€Π²Π°Π»ΡŒΠ½Ρ‹ΠΉ Ρ‚Π°ΠΉΠΌΠ΅Ρ€ (20 + 12 разрядов);

Β· Π΄Π²Π° Ρ‚Ρ€Π΅Ρ…ΠΊΠ°Π½Π°Π»ΡŒΠ½Ρ‹Ρ… 16-Π±ΠΈΡ‚Π½Ρ‹Ρ… Ρ‚Π°ΠΉΠΌΠ΅Ρ€Π°/счСтчика;

Β· стороТСвой Ρ‚Π°ΠΉΠΌΠ΅Ρ€.

ΠšΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ:

Β· 8 ΡƒΡ€ΠΎΠ²Π½Π΅ΠΉ маскируСмых ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ с ΠΏΡ€ΠΈΠΎΡ€ΠΈΡ‚Π΅Ρ‚ΠΎΠΌ;

Β· 7 Π²Π½Π΅ΡˆΠ½ΠΈΡ… источников прСрывания ΠΈ 1 «ΡΠΊΠΎΡ€ΠΎΡΡ‚Π½ΠΎΠΉ» источник прСрывания;

Β· Ρ‡Π΅Ρ‚Ρ‹Ρ€Π΅ 32-разрядных ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° Π²Π²ΠΎΠ΄Π°/Π²Ρ‹Π²ΠΎΠ΄Π° с 122 ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹ΠΌΠΈ иниями Π²Π²ΠΎΠ΄Π°/Π²Ρ‹Π²ΠΎΠ΄Π°;

Β· 22-ΠΊΠ°Π½Π°Π»ΡŒΠ½Ρ‹ΠΉ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹ΠΉ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ Π΄Π°Π½Π½Ρ‹Ρ… (DMA).

ΠœΠΎΠ΄ΡƒΠ»ΡŒ Ethernet MAC 10/100 Base-T:

Β· Ρ€Π΅ΠΆΠΈΠΌ MII ΠΈΠ»ΠΈ RMII;

Β· Π±ΡƒΡ„Π΅Ρ€ FIFO Π½Π° 28 Π±Π°ΠΉΡ‚ ΠΈ Π²Ρ‹Π΄Π΅Π»Π΅Π½Π½Ρ‹Π΅ ΠΊΠ°Π½Π°Π»Ρ‹ DMA Π½Π° ΠΏΡ€ΠΈΠ΅ΠΌ ΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡Ρƒ HOST-ΠΏΠΎΡ€Ρ‚ USB 2.0 (12 ΠœΠ±ΠΈΡ‚/с);

Β· Π±ΡƒΡ„Π΅Ρ€ FIFO ΠΈ Π²Ρ‹Π΄Π΅Π»Π΅Π½Π½Ρ‹Π΅ ΠΊΠ°Π½Π°Π»Ρ‹ DMA.

Device-ΠΏΠΎΡ€Ρ‚ USB 2.0 (12 ΠœΠ±ΠΈΡ‚/с):

Β· Π±ΡƒΡ„Π΅Ρ€ FIFO 2 ΠšΠ±Π°ΠΉΡ‚.

Π˜Π½Ρ‚Π΅Ρ€Ρ„Π΅ΠΉΡ ΠΌΡƒΠ»ΡŒΡ‚ΠΈΠΌΠ΅Π΄ΠΈΠ° ΠΊΠ°Ρ€Ρ‚ (MCI):

Β· автоматичСскоС ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ ΠΏΡ€ΠΎΡ‚ΠΎΠΊΠΎΠ»ΠΎΠΌ;

Β· ΡΠΎΠ²ΠΌΠ΅ΡΡ‚ΠΈΠΌΠΎΡΡ‚ΡŒ с MMC, SD/SDIO-ΠΊΠ°Ρ€Ρ‚Π°ΠΌΠΈ памяти, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° Π΄Π²ΡƒΡ… ΠΊΠ°Ρ€Ρ‚ SD-Memory;

Β· 10-разрядный 4-ΠΊΠ°Π½Π°Π»ΡŒΠ½Ρ‹ΠΉ АЦП.

ΠžΡ‚ΠΌΠ΅Ρ‚ΠΈΠΌ Ρ‚Π°ΠΊΠΆΠ΅:

Β· 3 синхронных ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° (SSC), ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° интСрфСйса I2S;

Β· 6 ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Ρ… синхронно-асинхронных интСрфСйса USART;

Β· асинхронный интСрфСйс UART, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Ρ‚Π°ΠΊΠΆΠ΅ ΠΌΠΎΠΆΠ½ΠΎ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ для ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ;

Β· Π΄Π²ΡƒΡ…ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½Ρ‹ΠΉ интСрфСйс TWI, (совмСстимый с I2C), ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° Ρ€Π΅ΠΆΠΈΠΌΠ° Master Mode;

Β· 2 ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… интСрфСйса SPI (Master/Slave Ρ€Π΅ΠΆΠΈΠΌ);

Β· интСрфСйс ISI (Image Sensor Interface) ITU-R 601/656 для ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ источника видСосигнала;[22]

6.5 Алгоритм Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° AT91RM9260

Алгоритм Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° AT91RM9260 состоит ΠΈΠ· ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΡ… шагов:

1. ЗагруТаСтся ROM boot. Π­Ρ‚Π° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° записана Π² ROM-ΠΏΠ°ΠΌΡΡ‚ΡŒ микросхСмы ΠΈ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΠ΅Ρ‚ ΠœΠš Π·Π°Π³Ρ€ΡƒΠΆΠ°Ρ‚ΡŒΡΡ Ρ‡Π΅Ρ€Π΅Π· SPI Dataflash-ΠΏΠ°ΠΌΡΡ‚ΡŒ, установлСнной Π½Π° ΠΏΠ»Π°Ρ‚Π΅.

2. ΠšΠΎΠΏΠΈΡ€ΡƒΠ΅Ρ‚ΡΡ Π·Π°Π³Ρ€ΡƒΠ·Ρ‡ΠΈΠΊ ΠΈΠ· SPI Dataflash Π² SDRAM. ΠŸΠ΅Ρ€Π²Ρ‹ΠΉ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΡΠΊΠΈΠΉ Π·Π°Π³Ρ€ΡƒΠ·Ρ‡ΠΈΠΊ размСщаСтся Π² SRAM, которая Ρ€Π°Π·Π±ΠΈΡ‚Π° Π½Π° Π±Π»ΠΎΠΊΠΈ ΠΏΠΎ 12 ΠšΠ±Π°ΠΉΡ‚ ΠΊΠΎΠ΄Π° ΠΈ 4 ΠšΠ±Π°ΠΉΡ‚ Π΄Π°Π½Π½Ρ‹Ρ…. Он Π½ΡƒΠΆΠ΅Π½ для ΠΈΠ½ΠΈΡ†ΠΈΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΈ: PLL, SDRAM; UART ΠΈ Ρ‚. Π΄.

3. Запуск U-boot Π² SDRAM. Π­Ρ‚Ρƒ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΡŽ выполняСт «ΠΌΠ°Π»Π΅Π½ΡŒΠΊΠΈΠΉ» Π·Π°Π³Ρ€ΡƒΠ·Ρ‡ΠΈΠΊ. Если Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π» Π½Π΅ Ρ‚рСбуСтся, Ρ‚ΠΎ Π²ΠΌΠ΅ΡΡ‚ΠΎ U-boot ΠΌΠΎΠΆΠ΅Ρ‚ Π·Π°ΠΏΡƒΡΠΊΠ°Ρ‚ΡŒΡΡ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Ρ. U-boot достаточно ΠΌΠΎΡ‰Π½Ρ‹ΠΉ инструмСнт. Π’ΠΎΠ·ΠΌΠΎΠΆΠ½Π° Ρ€Π°Π±ΠΎΡ‚Π° с ΡΠ΅Ρ‚ΡŒΡŽ, Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠ° ΠΏΠΎ ΠΏΡ€ΠΎΡ‚ΠΎΠΊΠΎΠ»Ρƒ tftp, Ρ€Π°Π±ΠΎΡ‚Π° с Ρ„Π»ΡΡˆ, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° USB-Masstorage Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠ° Linux ΠΈ Ρ‚. Π΄.

4. Запуск Linux/ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Ρ. ОсновноС Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ U-boot Π½Π° ΠΏΠ»Π°Ρ‚Π΅ AS-9260 — запуск Linux ΠΈΠ»ΠΈ «ΠΏΡ€ΠΎΡˆΠΈΠ²ΠΊΠΈ» ΠΈ ΠΎΠ±Π½ΠΎΠ²Π»Π΅Π½ΠΈΠ΅ содСрТимого Ρ„Π»ΡΡˆ-памяти.

Π’ Π΄Π°Π½Π½ΠΎΠΌ Π΄ΠΈΠΏΠ»ΠΎΠΌΠ½ΠΎΠΌ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅ прСдстоит ΡΠΎΠ·Π΄Π°Ρ‚ΡŒ Π·Π°Π³Ρ€ΡƒΠ·Ρ‡ΠΈΠΊ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ ΡƒΠΊΠ°Π·Π°Π½ Π² ΠΏ. 2, Π² Π΅Π³ΠΎ Π·Π°Π΄Π°Ρ‡Ρƒ Π²Ρ…ΠΎΠ΄ΠΈΡ‚ инициализация PLL, SDRAM, UART ΠΈ Π΄Ρ€ΡƒΠ³ΠΈΡ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², ΠΎ Ρ‡Π΅ΠΌ Π±ΡƒΠ΄Π΅Ρ‚ рассказано Π² ΡΠ»Π΅Π΄ΡƒΡŽΡ‰Π΅ΠΉ части. 24]

6.6 ОписаниС ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ΅ обСспСчСниС для ΠΏΡ€Π°Π²ΠΈΠ»ΡŒΠ½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹ микропроцСссорной систСмы довольно объСмно ΠΈ Ρ‚Ρ€Π΅Π±ΡƒΠ΅Ρ‚ высоких Ρ‚Ρ€ΡƒΠ΄ΠΎΠ·Π°Ρ‚Ρ€Π°Ρ‚ Ρ†Π΅Π»ΠΎΠ³ΠΎ ΠΊΠΎΠ»Π»Π΅ΠΊΡ‚ΠΈΠ²Π°. Π’ Π΄Π°Π½Π½ΠΎΠΌ Π΄ΠΈΠΏΠ»ΠΎΠΌΠ½ΠΎΠΌ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅ Π±Ρ‹Π»Π° Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Π½Π° Ρ‡Π°ΡΡ‚ΡŒ ΠΌΠΈΠΊΡ€ΠΎΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹, ΠΎΡ‚Π²Π΅Ρ‡Π°ΡŽΡ‰Π°Ρ Π·Π° Π½Π°Ρ‡Π°Π»ΡŒΠ½ΡƒΡŽ ΠΈΠ½ΠΈΡ†ΠΈΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΡŽ микропроцСссора ΠΈ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ Π½Π°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π·Π°Π³Ρ€ΡƒΠ·Ρ‡ΠΈΠΊΠ°. ΠŸΠΎΠ»Π½Ρ‹ΠΉ тСкст ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ прСдставлСн Π² ΠΏΡ€ΠΈΠ»ΠΎΠΆΠ΅Π½ΠΈΠΈ 4.

Алгоритм Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π½Π°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π·Π°Π³Ρ€ΡƒΠ·Ρ‡ΠΈΠΊΠ° ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠΉ:

ΠŸΠ΅Ρ€Π²Ρ‹ΠΉ шаг: Π˜Π½ΠΈΡ†ΠΈΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΡ оборудования

1. Установка Π·Π°ΠΏΡ€Π΅Ρ‚Π° ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ процСссора.

2. ΠšΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΡ PLLA

3. ΠšΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΡ PLLB

4. ΠŸΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ MCK Π½Π° PLLB/2

5. Активация ΠΎΡ‚Π»Π°Π΄ΠΎΡ‡Π½Ρ‹Ρ… сообщСний Π² ΠΏΠΎΡ€Ρ‚Ρƒ DBGU

6. ΠšΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΡ Ρ‚Π°Π±Π»ΠΈΡ†Ρ‹ памяти

7. Π˜Π½ΠΈΡ†ΠΈΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΡ DataFlash

8. Π˜Π½ΠΈΡ†ΠΈΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΡ NandFlash

9. ΠšΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΡ ΠΏΠΎΡ€Ρ‚ΠΎΠ² Π²Π²ΠΎΠ΄Π° ΠΈ Π²Ρ‹Π²ΠΎΠ΄Π°

10. Π’Ρ‹Ρ…ΠΎΠ΄ Π’Ρ‚ΠΎΡ€ΠΎΠΉ шаг: Π—Π°Π³Ρ€ΡƒΠ·ΠΊΠ° содСрТимого ΠΈΠ· Nand flash Π² ΠžΠ—Π£ Π’Ρ€Π΅Ρ‚ΠΈΠΉ шаг: Распаковка содСрТимого ΠžΠ—Π£

Π§Π΅Ρ‚Π²Π΅Ρ€Ρ‚Ρ‹ΠΉ шаг: Запуск прилоТСния [23]

7. РасчСт надСТности

Под Π½Π°Π΄Π΅ΠΆΠ½ΠΎΡΡ‚ΡŒΡŽ понимаСтся свойство издСлия Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ Π·Π°Π΄Π°Π½Π½Ρ‹Π΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ, сохраняя свои эксплуатационныС ΠΏΠΎΠΊΠ°Π·Π°Ρ‚Π΅Π»ΠΈ Π² Π·Π°Π΄Π°Π½Π½Ρ‹Ρ… ΠΏΡ€Π΅Π΄Π΅Π»Π°Ρ… Π² Ρ‚Π΅Ρ‡Π΅Π½ΠΈΠ΅ Ρ‚Ρ€Π΅Π±ΡƒΠ΅ΠΌΠΎΠ³ΠΎ ΠΏΡ€ΠΎΠΌΠ΅ΠΆΡƒΡ‚ΠΊΠ° Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΈΠ»ΠΈ Ρ‚Ρ€Π΅Π±ΡƒΠ΅ΠΌΠΎΠΉ Π½Π°Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΏΡ€ΠΈ соблюдСнии Ρ€Π΅ΠΆΠΈΠΌΠΎΠ² эксплуатации, ΠΏΡ€Π°Π²ΠΈΠ» тСхничСского обслуТивания, хранСния ΠΈ Ρ‚ранспортировки. ΠΠ°Π΄Π΅ΠΆΠ½ΠΎΡΡ‚ΡŒ — комплСксноС понятиС, с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ ΠΎΡ†Π΅Π½ΠΈΠ²Π°ΡŽΡ‚ Ρ‚Π°ΠΊΠΈΠ΅ ваТнСйшиС характСристики ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ, ΠΊΠ°ΠΊ Ρ€Π°Π±ΠΎΡ‚ΠΎΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ, Π΄ΠΎΠ»Π³ΠΎΠ²Π΅Ρ‡Π½ΠΎΡΡ‚ΡŒ, Π±Π΅Π·ΠΎΡ‚ΠΊΠ°Π·Π½ΠΎΡΡ‚ΡŒ, Ρ€Π΅ΠΌΠΎΠ½Ρ‚ΠΎΠΏΡ€ΠΈΠ³ΠΎΠ΄Π½ΠΎΡΡ‚ΡŒ, Π²ΠΎΡΡΡ‚Π°Π½Π°Π²Π»ΠΈΠ²Π°Π΅ΠΌΠΎΡΡ‚ΡŒ ΠΈ Π΄Ρ€.

ΠžΡΠ½ΠΎΠ²Π½Ρ‹ΠΌΠΈ критСриями надСТности ΡΠ²Π»ΡΡŽΡ‚ΡΡ:

1. Π²Π΅Ρ€ΠΎΡΡ‚Π½ΠΎΡΡ‚ΡŒ Π±Π΅Π·ΠΎΡ‚ΠΊΠ°Π·Π½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹ ;

2. частота ΠΎΡ‚ΠΊΠ°Π·Π° ;

3. ΠΈΠ½Ρ‚Π΅Π½ΡΠΈΠ²Π½ΠΎΡΡ‚ΡŒ ΠΎΡ‚ΠΊΠ°Π·ΠΎΠ² ;

4. срСднСС врСмя Π±Π΅Π·ΠΎΡ‚ΠΊΠ°Π·Π½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Вср.

Π’ΠΈΠ΄Ρ‹ надСТности:

1. аппаратурная — опрСдСляСтся тСхничСским состояниСм элСмСнтов, ΡƒΠ·Π»ΠΎΠ², Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π°,

2. Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Π°Ρ — ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π° Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ свои Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ,

3. матСматичСского обСспСчСния — опрСдСляСтся Π½Π°Π΄Π΅ΠΆΠ½ΠΎΡΡ‚ΡŒΡŽ ΠΈΠ»ΠΈ качСством ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ, Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠΎΠ² ΠΈ Ρ‚. Π΄.

Одним ΠΈΠ· ΠΎΡΠ½ΠΎΠ²Π½Ρ‹Ρ… ΠΏΠΎΠΊΠ°Π·Π°Ρ‚Π΅Π»Π΅ΠΉ надСТности являСтся ΠΈΠ½Ρ‚Π΅Π½ΡΠΈΠ²Π½ΠΎΡΡ‚ΡŒ ΠΎΡ‚ΠΊΠ°Π·ΠΎΠ² Π» — Π²Π΅Ρ€ΠΎΡΡ‚Π½ΠΎΡΡ‚ΡŒ ΠΎΡ‚ΠΊΠ°Π·Π° Π½Π΅Ρ€Π΅ΠΌΠΎΠ½Ρ‚ΠΈΡ€ΡƒΠ΅ΠΌΠΎΠ³ΠΎ издСлия Π² Π΅Π΄ΠΈΠ½ΠΈΡ†Ρƒ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ послС Π΄Π°Π½Π½ΠΎΠ³ΠΎ ΠΌΠΎΠΌΠ΅Π½Ρ‚Π° ΠΏΡ€ΠΈ условии, Ρ‡Ρ‚ΠΎ ΠΎΡ‚ΠΊΠ°Π· (случайноС событиС, Π·Π°ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰Π΅Π΅ΡΡ Π² Π½Π°Ρ€ΡƒΡˆΠ΅Π½ΠΈΠΈ работоспособности издСлия) Π΄ΠΎ ΡΡ‚ΠΎΠ³ΠΎ Π½Π΅ Π²ΠΎΠ·Π½ΠΈΠΊ.

Π³Π΄Π΅ n — Π½ΠΎΠΌΠ΅Π½ΠΊΠ»Π°Ρ‚ΡƒΡ€Π° элСмСнтов;

Π»i — ΠΈΠ½Ρ‚Π΅Π½ΡΠΈΠ²Π½ΠΎΡΡ‚ΡŒ ΠΎΡ‚ΠΊΠ°Π·ΠΎΠ² элСмСнтов i-Π³ΠΎ Ρ‚ΠΈΠΏΠ°, [Ρ‡-1];

Π‘i — количСство элСмСнтов i-Π³ΠΎ Ρ‚ΠΈΠΏΠ°.

Π‘Ρ€Π΅Π΄Π½Π΅Π΅ врСмя ΠΌΠ΅ΠΆΠ΄Ρƒ смСТными ΠΎΡ‚ΠΊΠ°Π·Π°ΠΌΠΈ (Π½Π°Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° Π½Π° ΠΎΡ‚ΠΊΠ°Π·) Ρ€Π°Π²Π½ΠΎ Π’ Ρ‚Π°Π±Π»ΠΈΡ†Π΅ 10−1 ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Ρ‹ Π΄Π°Π½Π½Ρ‹Π΅ для расчСта надСТности устройства.

Π’Π°Π±Π»ΠΈΡ†Π° 7.1.

Π­Π»Π΅ΠΌΠ΅Π½Ρ‚

Π˜Π½Ρ‚Π΅Π½ΡΠΈΠ²Π½ΠΎΡΡ‚ΡŒ ΠΎΡ‚ΠΊΠ°Π·ΠΎΠ²,

[Ρ‡ -1 * I0-6]

Кол-Π²ΠΎ Π² Π±Π»ΠΎΠΊΠ΅

Буммарная ΠΈΠ½Ρ‚Π΅Π½ΡΠΈΠ²Π½ΠΎΡΡ‚ΡŒ ΠΎΡ‚ΠΊΠ°Π·ΠΎΠ² Π² Π±Π»ΠΎΠΊΠ΅ [Ρ‡-1*I0-6]

РазъСм элСктричСский

0,016

0,224

ЛогичСский элСмСнт

0,22

2,2

ΠœΠ΅Ρ‚Π°Π»Π»ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ΅ отвСрстиС

0,005

3,145

Пайка

0,01

14,28

ΠšΠΎΠ½Π΄Π΅Π½ΡΠ°Ρ‚ΠΎΡ€

0,03

1,23

ΠŸΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Π΅ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΈ

0,4

0,1012

Π˜Π½Π΄ΡƒΠΊΡ‚ΠΈΠ²Π½ΠΎΡΡ‚ΡŒ

0,02

0,02

РСзистор

0,02

0,44

РСзисторная сборка

0,2

1,6

Π±Π»ΠΎΠΊΠ°=23,24*10-6

Наработка Π½Π° ΠΎΡ‚ΠΊΠ°Π· (срСднСС врСмя ΠΌΠ΅ΠΆΠ΄Ρƒ двумя сосСдними ΠΎΡ‚ΠΊΠ°Π·Π°ΠΌΠΈ):

Π’Π±Π»ΠΎΠΊΠ° = 1/Π±Π»ΠΎΠΊΠ°Π»=1/23,24*10-6=43 017Ρ‡.

ΠŸΡ€ΠΈ расчСтС Π±Π»ΠΎΠΊΠ° Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ…, ΠΎΡ‚Π»ΠΈΡ‡Π½Ρ‹Ρ… ΠΎΡ‚ Π»Π°Π±ΠΎΡ€Π°Ρ‚ΠΎΡ€Π½Ρ‹Ρ… для ΡƒΡ‚ΠΎΡ‡Π½Π΅Π½Π½ΠΎΠ³ΠΎ расчСта, Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ввСсти ΠΏΠΎΠΏΡ€Π°Π²ΠΎΡ‡Π½Ρ‹Π΅ коэффициСнты:

К1, К2 — ΠΏΠΎΠΏΡ€Π°Π²ΠΎΡ‡Π½Ρ‹Π΅ коэффициСнты зависимости ΠΎΡ‚ Π²ΠΎΠ·Π΄Π΅ΠΉΡΡ‚Π²ΠΈΠΉ мСханичСских Ρ„Π°ΠΊΡ‚ΠΎΡ€ΠΎΠ² Π½Π° Π½Π΅ Π°ΠΌΠΎΡ€Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΡƒΡŽ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Ρƒ. К1 — вибрация; К2 — ΡƒΠ΄Π°Ρ€Π½Ρ‹Π΅ Π½Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ.

К3 — ΠΏΠΎΠΏΡ€Π°Π²ΠΎΡ‡Π½Ρ‹Π΅ коэффициСнты зависимости ΠΎΡ‚ Π²ΠΎΠ·Π΄Π΅ΠΉΡΡ‚Π²ΠΈΠΉ влаТности ΠΈ Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Ρ‹.

К1=1,04;

К2=1,03;

К3=1;

Π»Π±Π»ΠΎΠΊΠ°. ΡƒΡ‚ΠΎΡ‡Π½Π΅Π½Π½ΠΎΠ΅ =Π»Π±Π»ΠΎΠΊ*K1*K2*K3=23,24*10-6*1,04*1,03*1=24,89*10-6 1/час Наработка Π½Π° ΠΎΡ‚ΠΊΠ°Π· :

Π’Π±Π»ΠΎΠΊΠ° ΡƒΡ‚ΠΎΡ‡Π½Π΅Π½Π½ΠΎΠ΅ = 1/Π»Π±Π»ΠΎΠΊΠ° ΡƒΡ‚ΠΎΡ‡Π½=1/24,89*10-6 =40 176Ρ‡.

Π’Π΅Ρ€ΠΎΡΡ‚Π½ΠΎΡΡ‚ΡŒ Π±Π΅Π·ΠΎΡ‚ΠΊΠ°Π·Π½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹ считаСтся ΠΏΠΎ Ρ„ΠΎΡ€ΠΌΡƒΠ»Π΅:

Π³Π΄Π΅ t-врСмя, Π·Π° ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ даСтся Π½Π°Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° Π½Π° ΠΎΡ‚ΠΊΠ°Π· Π’. 5]

Рисунок 7.1

Π˜ΡΡ…ΠΎΠ΄Ρ ΠΈΠ· Ρ€Π°ΡΡ‡Π΅Ρ‚ΠΎΠ² ΠΈ Π²Ρ‹ΡˆΠ΅ΡƒΠΊΠ°Π·Π°Π½Π½ΠΎΠ³ΠΎ Π³Ρ€Π°Ρ„ΠΈΠΊΠ° (рис. 7.1) Π²ΠΈΠ΄Π½ΠΎ, Ρ‡Ρ‚ΠΎ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΡƒΠ΅ΠΌΡ‹ΠΉ Π±Π»ΠΎΠΊ Π±ΡƒΠ΄Π΅Ρ‚ Π±Π΅Π·ΠΎΡ‚ΠΊΠ°Π·Π½ΠΎ Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ 38 000Ρ‡. Ρ‡Ρ‚ΠΎ удовлСтворяСт трСбованиям надСТности.

8. Π’Π΅ΠΏΠ»ΠΎΠ²ΠΎΠΉ расчСт

ΠŸΡ€Π°ΠΊΡ‚ΠΈΠΊΠ° Ρ‚Π΅ΠΏΠ»ΠΎΠ²Ρ‹Ρ… расчСтов ΠΏΠΎΠΊΠ°Π·Ρ‹Π²Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ достаточно Ρ‚ΠΎΡ‡Π½ΠΎΠ΅ совпадСниС расчСтных ΠΈ ΡΠΊΡΠΏΠ΅Ρ€ΠΈΠΌΠ΅Π½Ρ‚Π°Π»ΡŒΠ½Ρ‹Ρ… Π΄Π°Π½Π½Ρ‹Ρ… зависит Π½Π΅ ΠΎΡ‚ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΠΎΠΉ ΠΌΠ΅Ρ‚ΠΎΠ΄ΠΈΠΊΠΈ, Π° ΠΎΡ‚ Ρ‚ΠΎΠ³ΠΎ ΠΎΠΏΡ‹Ρ‚Π°, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π½Π°ΠΊΠΎΠΏΠ»Π΅Π½ конструктором.

ГСомСтричСскиС ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΠΈ Ρ€Π΅ΠΆΠΈΠΌ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π±Π»ΠΎΠΊΠ°:

Π‘Ρ€Π΅Π΄Π½Π΅Π΅ расстояниС ΠΌΠ΅ΠΆΠ΄Ρƒ отвСрстиями для ΠΏΠΎΠ΄Π²ΠΎΠ΄Π° ΠΈ ΠΎΡ‚Π²ΠΎΠ΄Π° Π²ΠΎΠ·Π΄ΡƒΡ…Π° h=0.116ΠΌ;

Π‘ΡƒΠΌΠΌΠ°Ρ€Π½Ρ‹Π΅ ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΈ отвСрстий Π² ΠΊΠΎΡ€ΠΏΡƒΡΠ΅: FΠ²Ρ…=FΠ²Ρ‹Ρ…=(11,5*90*10+8*90*2)/1000=11,79 ΠΌ;

Fш=1,5*10*150/1000=2,25 ΠΌ ΠŸΠ»ΠΎΡ‰Π°Π΄ΠΈ повСрхностСй корпуса, Π½Π°Π³Ρ€Π΅Ρ‚ΠΎΠΉ Π·ΠΎΠ½Ρ‹ ΠΈ ΠΈΠ·Π»ΡƒΡ‡Π°ΡŽΡ‰Π΅ΠΉ Π΅Π΅ ΠΏΠΎΠ²Π΅Ρ€Ρ…ности:

Π³Π΄Π΅ l1 ΠΈ l2 -Ρ€Π°Π·ΠΌΠ΅Ρ€Ρ‹ шасси; S-ΠΏΠ»ΠΎΡ‰Π°Π΄ΡŒ основания ΠΎΠ΄Π΅Ρ‚Π°Π»Π΅ΠΉ; S-ΠΏΠ»ΠΎΡ‰Π°Π΄ΡŒ Ρ‚Π΅ΠΏΠ»ΠΎΠΎΡ‚Π΄Π°ΡŽΡ‰ΠΈΡ… повСрхностСй Ρ€Π°Π΄ΠΈΠΎΠ΄Π΅Ρ‚Π°Π»Π΅ΠΉ;

Π³Π΄Π΅ ш-Ρ‚ΠΎΠ»Ρ‰ΠΈΠ½Π°, hдвысота Π΄Π΅Ρ‚Π°Π»Π΅ΠΉ.

ΠŸΠ»ΠΎΡ‰Π°Π΄ΡŒ ΠΏΠΎΠΏΠ΅Ρ€Π΅Ρ‡Π½ΠΎΠ³ΠΎ сСчСния ΠΏΠΎΡ€ΠΎΠΆΠ½Π΅Π³ΠΎ корпуса Π±Π»ΠΎΠΊΠ°:

ΠšΠΎΡΡ„Ρ„ΠΈΡ†ΠΈΠ΅Π½Ρ‚ заполнСния Π±Π»ΠΎΠΊΠ°:

ΠœΠΎΡ‰Π½ΠΎΡΡ‚ΡŒ источников Ρ‚Π΅ΠΏΠ»Π°, Π΄Π΅ΠΉΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΡ… Π² Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π΅: Π =16,25Π’Ρ‚.

Π‘Π»ΠΎΠΊ находится Π² Π½Π΅ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Π½ΠΎΠΉ Π²ΠΎΠ·Π΄ΡƒΡˆΠ½ΠΎΠΉ срСдС.

Π’Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Π° срСды tс=20Π‘, Π΄Π°Π²Π»Π΅Π½ΠΈΠ΅ Π½ΠΎΡ€ΠΌΠ°Π»ΡŒΠ½ΠΎΠ΅, Ρ‚Π΅ΠΏΠ»ΠΎΠΎΠ±ΠΌΠ΅Π½ внСшнСй повСрхности корпуса со ΡΡ€Π΅Π΄ΠΎΠΉ происходит Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ… СстСствСнной ΠΊΠΎΠ½Π²Π΅ΠΊΡ†ΠΈΠΈ.

ΠžΠΏΡ€Π΅Π΄Π΅Π»ΠΈΠΌ Π²Π΅Π»ΠΈΡ‡ΠΈΠ½Ρƒ W. Для этого вычислим Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡ‹Π΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹.

НайдСм Ρ‚Π΅ΠΏΠ»ΠΎΠ²Ρ‹Π΅ коэффициСнты:

Вычислим срСдниС повСрхностныС ΠΏΠ΅Ρ€Π΅Π³Ρ€Π΅Π²Ρ‹ Π½Π°Π³Ρ€Π΅Ρ‚ΠΎΠΉ Π·ΠΎΠ½Ρ‹ ΠΈ ΠΊΠΎΡ€ΠΏΡƒΡΠ° Π±Π»ΠΎΠΊΠ°[3]:

Π‘Ρ€Π΅Π΄Π½ΠΈΠ΅ повСрхностныС Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Ρ‹ Π½Π°Π³Ρ€Π΅Ρ‚ΠΎΠΉ Π·ΠΎΠ½Ρ‹ ΠΈ ΠΊΠΎΡ€ΠΏΡƒΡΠ° Ρ€Π°Π²Π½Ρ‹:

Π’Π°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ, Π² ΡΠ°ΠΌΡ‹Ρ… нСблагоприятных условиях Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π±Π»ΠΎΠΊΠ°, Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Π° Π½Π°Π³Ρ€Π΅Ρ‚ΠΎΠΉ Π·ΠΎΠ½Ρ‹, Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ Ρ€Π°ΡΠΏΠΎΠ»Π°Π³Π°ΡŽΡ‚ΡΡ элСмСнты, Π½Π΅ ΠΏΡ€Π΅Π²Ρ‹ΡˆΠ°Π΅Ρ‚ 80? Π‘, Ρ‡Ρ‚ΠΎ позволяСт ΡΠ΄Π΅Π»Π°Ρ‚ΡŒ Π²Ρ‹Π²ΠΎΠ΄ ΠΎΠ± ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡Π΅Π½ΠΈΠΈ Ρ‚Π΅ΠΏΠ»ΠΎΠ²ΠΎΠ³ΠΎ Ρ€Π΅ΠΆΠΈΠΌΠ° Ρ€Π°Π±ΠΎΡ‚Ρ‹ Ρ€Π°Π·Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Π΅ΠΌΠΎΠ³ΠΎ Π±Π»ΠΎΠΊΠ°.

9. ЭкономичСский расчСт

ΠŸΡƒΡ‚Π΅ΠΌ Π°Π½Π°Π»ΠΈΠ·Π° Ρ€Ρ‹Π½ΠΎΡ‡Π½ΠΎΠΉ Ρ†Π΅Π»ΠΈ создания ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π° Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ устанавливаСм Ρ‚ΠΎΠ²Π°Ρ€Π½Ρ‹ΠΉ Ρ‚ΠΈΠΏ ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π°.

Π”Π°Π½Π½ΠΎΠ΅ устройство прСдставляСт собой ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎ-Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹ΠΉ комплСкс для ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ обСспСчСния.

Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° относится ΠΊ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ°ΠΌ, выполняСмым с ΠΊΠΎΠΌΠΌΠ΅Ρ€Ρ‡Π΅ΡΠΊΠΎΠΉ Ρ†Π΅Π»ΡŒΡŽ, ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π½Ρ‹ΠΌ для прямой Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ, ΠΈΠΌΠ΅ΡŽΡ‰Π°Ρ Ρ€Ρ‹Π½ΠΎΡ‡Π½Ρ‹ΠΉ Π°Π½Π°Π»ΠΎΠ³, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ относится ΠΊ I Ρ‚ΠΎΠ²Π°Ρ€Π½ΠΎΠΌΡƒ Ρ‚ΠΈΠΏΡƒ. Для Π΄Π°Π½Π½ΠΎΠ³ΠΎ Ρ‚ΠΎΠ²Π°Ρ€Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ° Π΄ΠΎΠ»ΠΆΠ½Ρ‹ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒΡΡ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ Π²ΠΈΠ΄Ρ‹ расчСтов:

ΠŸΠΎΠΊΠ°Π·Π°Ρ‚ΡŒ вСсь тСкст
Π—Π°ΠΏΠΎΠ»Π½ΠΈΡ‚ΡŒ Ρ„ΠΎΡ€ΠΌΡƒ Ρ‚Π΅ΠΊΡƒΡ‰Π΅ΠΉ Ρ€Π°Π±ΠΎΡ‚ΠΎΠΉ