Помощь в написании студенческих работ
Антистрессовый сервис

Проектирование простейших схем комбинационной логики

Лабораторная работаПомощь в написанииУзнать стоимостьмоей работы

Revision 0.01 — File Created. Use IEEE. STD_LOGIC_ARITH. ALL; Use IEEE. STD_LOGIC_1164. ALL; Use UNISIM. VComponents. all; Port (X1: in STD_LOGIC; Entity LOGIC_LAB1 is. Ход работы. Additional Comments: Y5: out STD_LOGIC); Y4: out STD_LOGIC; Y3: out STD_LOGIC; Y2: out STD_LOGIC; Y1: out STD_LOGIC; X8: in STD_LOGIC; X7: in STD_LOGIC; X6: in STD_LOGIC; X5: in STD_LOGIC; X4: in STD_LOGIC; X3… Читать ещё >

Проектирование простейших схем комбинационной логики (реферат, курсовая, диплом, контрольная)

Лабораторная работа ПРОЕКТИРОВАНИЕ ПРОСТЕЙШИХ СХЕМ КОМБИНАЦИОННОЙ ЛОГИКИ

Выполнил студент группы ЭМ-51 Королев А. А.

Цель работы. Изучить принципы работы с САПР Xilinx WebPACK и получить практические навыки проектирования простейших комбинационных схем на базе ПЛИС.

Индивидуальное задание:

Y1 <= X5 or (not ((X8 xor (X7 and X6 and X2)) xor X6));

Y2 <= X6 or ((not (X7 OR ((X1 XOR X6) XOR X4))) XOR X5);

Y3 <= (X2 AND ((NOT (X7 OR (X1 XOR (X1 AND (NOT X1))))))) XOR X6;

Y4 <= (X6 AND X3 AND X1) OR (NOT X2) OR ((NOT X4) XOR X8) OR X7;

Y5 <= (X5 XOR X7) OR ((X1 AND X7 AND (NOT X2)) XOR X3);

Ход работы.

1. Описание устройства на языке VHDL:

————————————————————————————————————————;

Company:

Engineer:

Create Date: 00: 49: 16 10/23/2007

Design Name:

Module Name: LOGIC_LAB1 — Behavioral

Project Name:

Target Devices:

Tool versions:

Description:

Dependencies:

Revision:

Revision 0.01 — File Created

Additional Comments:

————————————————————————————————————————;

library IEEE;

use IEEE. STD_LOGIC_1164. ALL;

use IEEE. STD_LOGIC_ARITH. ALL;

use IEEE. STD_LOGIC_UNSIGNED. ALL;

— Uncomment the following library declaration if instantiating

— any Xilinx primitives in this code.

— library UNISIM;

— use UNISIM. VComponents. all;

entity LOGIC_LAB1 is

Port (X1: in STD_LOGIC;

X2: in STD_LOGIC;

X3: in STD_LOGIC;

X4: in STD_LOGIC;

X5: in STD_LOGIC;

X6: in STD_LOGIC;

X7: in STD_LOGIC;

X8: in STD_LOGIC;

Y1: out STD_LOGIC;

Y2: out STD_LOGIC;

Y3: out STD_LOGIC;

Y4: out STD_LOGIC;

Y5: out STD_LOGIC);

end LOGIC_LAB1;

architecture Behavioral of LOGIC_LAB1 is

begin

process (X1, X2, X3, X4, X5, X6, X7, X8)

BEGIN

Y1 <= X5 or (not ((X8 xor (X7 and X6 and X2)) xor X6));

Y2 <= X6 or ((not (X7 OR ((X1 XOR X6) XOR X4))) XOR X5);

Y3 <= (X2 AND ((NOT (X7 OR (X1 XOR (X1 AND (NOT X1))))))) XOR X6;

Y4 <= (X6 AND X3 AND X1) OR (NOT X2) OR ((NOT X4) XOR X8) OR X7;

Y5 <= (X5 XOR X7) OR ((X1 AND X7 AND (NOT X2)) XOR X3);

END PROCESS;

end Behavioral;

2. Набор тестовых воздействий и временные диаграммы работы устройства.

Рисунок 1 — Временная диаграмма работы устройства.

комбинационная логика простейшая схема Рисунок 2 — Схема устройства Рисунок 3 — Размещение устройства на кристалле Проверка функции Y1 в момент времени 1000 нс:

Y1 = 1;

Y1 = X5 or not (X8 xor X7 and X6 and X2 xor X6) = 0 or not (1 xor 1 and 1 and 0 xor 1) = = 1;

1 = 1;

Устройство работает правильно.

Вывод: в данной работе изучены принципы работы с САПР Xilinx WebPACK и получены практические навыки проектирования простейших комбинационных схем на базе ПЛИС.

Показать весь текст
Заполнить форму текущей работой