ΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² написании студСнчСских Ρ€Π°Π±ΠΎΡ‚
АнтистрСссовый сСрвис

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ²

ΠšΡƒΡ€ΡΠΎΠ²Π°ΡΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² Π½Π°ΠΏΠΈΡΠ°Π½ΠΈΠΈΠ£Π·Π½Π°Ρ‚ΡŒ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒΠΌΠΎΠ΅ΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹

Π­Π»Π΅ΠΌΠ΅Π½Ρ‚Ρ‹ R1, Π‘1 ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‚ Ρ†Π΅ΠΏΡŒ Π½Π°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ сброса ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. Она слуТит для ΠΏΠ΅Ρ€Π΅Π²ΠΎΠ΄Π° Π² ΠΈΡΡ…ΠΎΠ΄Π½ΠΎΠ΅ состояниС всСх Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… систСм ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° сразу послС Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ питания. ΠšΠ²Π°Ρ€Ρ†Π΅Π²Ρ‹ΠΉ Ρ€Π΅Π·ΠΎΠ½Π°Ρ‚ΠΎΡ€ Z1 опрСдСляСт частоту встроСнного Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. Π­Ρ‚ΠΎΡ‚ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½ для синхронизации всСх Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… процСссов ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. ΠœΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΠ° АВ89Π‘2051 допускаСт… Π§ΠΈΡ‚Π°Ρ‚ΡŒ Π΅Ρ‰Ρ‘ >

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² (Ρ€Π΅Ρ„Π΅Ρ€Π°Ρ‚, курсовая, Π΄ΠΈΠΏΠ»ΠΎΠΌ, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒΠ½Π°Ρ)

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ²

План

  • Π’Π²Π΅Π΄Π΅Π½ΠΈΠ΅
  • Π Π°Π·Π΄Π΅Π» 1. НазначСниС ΠΈ ΠΎΠ±Π»Π°ΡΡ‚ΡŒ примСнСния, ΠΈΡ… Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π°
  • Π Π°Π·Π΄Π΅Π» 2. Π‘Ρ€Π΅Π΄Ρ‹ программирования. Π‘Ρ…Π΅ΠΌΡ‹ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°
  • Π Π°Π·Π΄Π΅Π» 3. ΠŸΡ€Π°ΠΊΡ‚ΠΈΡ‡Π΅ΡΠΊΠ°Ρ рСализация ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π½Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π΅
  • Π’Ρ‹Π²ΠΎΠ΄
  • Бписок ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½Π½Ρ‹Ρ… источников

ΠΠΊΡ‚ΡƒΠ°Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ Ρ‚Π΅ΠΌΡ‹. ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ Π²ΠΎ Π²ΡΠ΅Ρ… сфСрах ΠΆΠΈΠ·Π½Π΅Π΄Π΅ΡΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ Ρ‡Π΅Π»ΠΎΠ²Π΅ΠΊΠ°, устройствах, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΎΠΊΡ€ΡƒΠΆΠ°ΡŽΡ‚ Π΅Π³ΠΎ. ΠŸΡ€ΠΎΡΡ‚ΠΎΡ‚Π° ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ ΠΈ Π±ΠΎΠ»ΡŒΡˆΠΈΠ΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Π΅ возмоТности. Π‘ ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ программирования ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° ΠΌΠΎΠΆΠ½ΠΎ Ρ€Π΅ΡˆΠΈΡ‚ΡŒ ΠΌΠ½ΠΎΠ³ΠΈΠ΅ практичСскиС Π·Π°Π΄Π°Ρ‡ΠΈ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠΉ Ρ‚Π΅Ρ…Π½ΠΈΠΊΠΈ.

ЦСль Ρ€Π°Π±ΠΎΡ‚Ρ‹. На ΠΎΡΠ½ΠΎΠ²Π΅ практичСского ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π° ΠΏΠΎΠΊΠ°Π·Π°Ρ‚ΡŒ прСимущСствСнныС характСристики использования ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ², нСобходимости ΠΈΡ… Π²Π½Π΅Π΄Ρ€Π΅Π½ΠΈΡ Π² Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Π΅ устройства.

МоТно ΡΡ‡ΠΈΡ‚Π°Ρ‚ΡŒ Ρ‡Ρ‚ΠΎ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ (МК) — это ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€, Ρ€Π°Π·ΠΌΠ΅ΡΡ‚ΠΈΠ²ΡˆΠΈΠΉΡΡ Π² ΠΎΠ΄Π½ΠΎΠΉ микросхСмС. ΠžΡ‚ΡΡŽΠ΄Π° ΠΈ Π΅Π³ΠΎ основныС ΠΏΡ€ΠΈΠ²Π»Π΅ΠΊΠ°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ качСства: ΠΌΠ°Π»Ρ‹Π΅ Π³Π°Π±Π°Ρ€ΠΈΡ‚Ρ‹; высокиС ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ, Π½Π°Π΄Π΅ΠΆΠ½ΠΎΡΡ‚ΡŒ ΠΈ ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ Π±Ρ‹Ρ‚ΡŒ Π°Π΄Π°ΠΏΡ‚ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹ΠΌ для выполнСния самых Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… Π·Π°Π΄Π°Ρ‡.

ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΏΠΎΠΌΠΈΠΌΠΎ Ρ†Π΅Π½Ρ‚Ρ€Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ процСссора (ЦП) содСрТит ΠΏΠ°ΠΌΡΡ‚ΡŒ ΠΈ ΠΌΠ½ΠΎΠ³ΠΎΡ‡ΠΈΡΠ»Π΅Π½Π½Ρ‹Π΅ устройства Π²Π²ΠΎΠ΄Π°/Π²Ρ‹Π²ΠΎΠ΄Π°: Π°Π½Π°Π»ΠΎΠ³ΠΎ-Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Π΅ ΠΏΡ€Π΅ΠΎΠ±Ρ€Π°Π·ΠΎΠ²Π°Ρ‚Π΅Π»ΠΈ, ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΈ ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΊΠ°Π½Π°Π»Ρ‹ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ, Ρ‚Π°ΠΉΠΌΠ΅Ρ€Ρ‹ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, ΡˆΠΈΡ€ΠΎΡ‚Π½ΠΎ-ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹Π΅ модуляторы (ШИМ), Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ² ΠΈ Ρ‚. Π΄. Π•Π³ΠΎ основноС Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ — использованиС Π² ΡΠΈΡΡ‚Π΅ΠΌΠ°Ρ… автоматичСского управлСния, встроСнных Π² ΡΠ°ΠΌΡ‹Π΅ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Π΅ устройства: ΠΊΡ€Π΅Π΄ΠΈΡ‚Π½Ρ‹Π΅ ΠΊΠ°Ρ€Ρ‚ΠΎΡ‡ΠΊΠΈ, Ρ„ΠΎΡ‚ΠΎΠ°ΠΏΠΏΠ°Ρ€Π°Ρ‚Ρ‹, сотовыС Ρ‚Π΅Π»Π΅Ρ„ΠΎΠ½Ρ‹, ΠΌΡƒΠ·Ρ‹ΠΊΠ°Π»ΡŒΠ½Ρ‹Π΅ Ρ†Π΅Π½Ρ‚Ρ€Ρ‹, Ρ‚Π΅Π»Π΅Π²ΠΈΠ·ΠΎΡ€Ρ‹, Π²ΠΈΠ΄Π΅ΠΎΠΌΠ°Π³Π½ΠΈΡ‚ΠΎΡ„ΠΎΠ½Ρ‹ ΠΈ Π²ΠΈΠ΄Π΅ΠΎΠΊΠ°ΠΌΠ΅Ρ€Ρ‹, ΡΡ‚ΠΈΡ€Π°Π»ΡŒΠ½Ρ‹Π΅ ΠΌΠ°ΡˆΠΈΠ½Ρ‹, ΠΌΠΈΠΊΡ€ΠΎΠ²ΠΎΠ»Π½ΠΎΠ²Ρ‹Π΅ ΠΏΠ΅Ρ‡ΠΈ, систСмы ΠΎΡ…Ρ€Π°Π½Π½ΠΎΠΉ сигнализации, систСмы заТигания Π±Π΅Π½Π·ΠΈΠ½ΠΎΠ²Ρ‹Ρ… Π΄Π²ΠΈΠ³Π°Ρ‚Π΅Π»Π΅ΠΉ, элСктроприводы Π»ΠΎΠΊΠΎΠΌΠΎΡ‚ΠΈΠ²ΠΎΠ², ядСрныС Ρ€Π΅Π°ΠΊΡ‚ΠΎΡ€Ρ‹ ΠΈ ΠΌΠ½ΠΎΠ³ΠΎΠ΅, ΠΌΠ½ΠΎΠ³ΠΎΠ΅ Π΄Ρ€ΡƒΠ³ΠΎΠ΅. ВстраиваСмыС систСмы управлСния стали Π½Π°ΡΡ‚ΠΎΠ»ΡŒΠΊΠΎ массовым явлСниСм, Ρ‡Ρ‚ΠΎ фактичСски ΡΡ„ΠΎΡ€ΠΌΠΈΡ€ΠΎΠ²Π°Π»Π°ΡΡŒ новая ΠΎΡ‚Ρ€Π°ΡΠ»ΡŒ экономики, ΠΏΠΎΠ»ΡƒΡ‡ΠΈΠ²ΡˆΠ°Ρ Π½Π°Π·Π²Π°Π½ΠΈΠ΅ Embedded Systems (встраиваСмыС систСмы).

Достаточно ΡˆΠΈΡ€ΠΎΠΊΠΎΠ΅ распространСниС ΠΈΠΌΠ΅ΡŽΡ‚ ΠœΠš Ρ„ΠΈΡ€ΠΌΡ‹ ATMEL, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Ρ€Π°ΡΠΏΠΎΠ»Π°Π³Π°ΡŽΡ‚ большими Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹ΠΌΠΈ возмоТностями.

ΠŸΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ ΠœΠš ΠΌΠΎΠΆΠ½ΠΎ Ρ€Π°Π·Π΄Π΅Π»ΠΈΡ‚ΡŒ Π½Π° Π΄Π²Π° этапа: ΠΏΠ΅Ρ€Π²Ρ‹ΠΉ — ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅, ΠΊΠΎΠ³Π΄Π° ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒ Ρ€Π°Π·Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Π΅Ρ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ ΠΈ ΠΏΡ€ΠΎΡˆΠΈΠ²Π°Π΅Ρ‚ Π΅Π΅ Π½Π΅ΠΏΠΎΡΡ€Π΅Π΄ΡΡ‚Π²Π΅Π½Π½ΠΎ Π² ΠΊΡ€ΠΈΡΡ‚Π°Π»Π», ΠΈ Π²Ρ‚ΠΎΡ€ΠΎΠΉ — согласованиС спроСктированных ΠΈΡΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… устройств с Π·Π°ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹ΠΌ ΠœΠš. Π—Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ ΠΎΠ±Π»Π΅Π³Ρ‡Π°ΡŽΡ‚ ΠΎΡ‚Π»Π°Π΄ΠΊΡƒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π½Π° ΠΏΠ΅Ρ€Π²ΠΎΠΌ этапС — симулятор, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ наглядно ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΡƒΠ΅Ρ‚ Ρ€Π°Π±ΠΎΡ‚Ρƒ микропроцСссора. На Π²Ρ‚ΠΎΡ€ΠΎΠΌ этапС для ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ внутрисхСмный эмулятор, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ являСтся слоТным ΠΈ Π΄ΠΎΡ€ΠΎΠ³ΠΈΠΌ устройством, Π·Π°Ρ‡Π°ΡΡ‚ΡƒΡŽ нСдоступным рядовому ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŽ.

Π’ Ρ‚ΠΎΠΆΠ΅ врСмя Π² Π»ΠΈΡ‚Π΅Ρ€Π°Ρ‚ΡƒΡ€Π΅ ΠΌΠ°Π»ΠΎ ΡƒΠ΄Π΅Π»Π΅Π½ΠΎ внимания вопросам обучСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΡŽ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Π½Π΅Π΄ΠΎΡ€ΠΎΠ³ΠΈΡ… МК, Π² ΡΠΎΡ‡Π΅Ρ‚Π°Π½ΠΈΠΈ с Ρ€Π΅Π°Π»ΡŒΠ½Ρ‹ΠΌΠΈ ΠΈΡΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ устройствами.

Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° ΠΌΠ°ΠΊΠ΅Ρ‚Π° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€Π° ΠΎΡ‚Π»ΠΈΡ‡Π°ΡŽΡ‰Π΅Π³ΠΎΡΡ простотой, Π½Π°Π³Π»ΡΠ΄Π½ΠΎΡΡ‚ΡŒΡŽ ΠΈ Π½ΠΈΠ·ΠΊΠΎΠΉ ΡΠ΅Π±Π΅ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒΡŽ, ΡΡ‚Π°Π½ΠΎΠ²ΠΈΡ‚ΡŒΡΡ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎΠΉ ΠΊΠ°ΠΊ для самого программирования кристаллов, Ρ‚Π°ΠΊ ΠΈ Π΄Π»Ρ наглядного обучСния ΡˆΠΈΡ€ΠΎΠΊΠΎΠ³ΠΎ ΠΊΡ€ΡƒΠ³Π° ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Π΅ΠΉ основам программирования ΠœΠš.

Π Π°Π·Π΄Π΅Π» 1. НазначСниС ΠΈ ΠΎΠ±Π»Π°ΡΡ‚ΡŒ примСнСния, ΠΈΡ… Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π°

ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ — ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€ Π½Π° ΠΎΠ΄Π½ΠΎΠΉ микросхСмС. ΠŸΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½ для управлСния Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹ΠΌΠΈ элСктронными устройствами ΠΈ ΠΎΡΡƒΡ‰Π΅ΡΡ‚влСния взаимодСйствия ΠΌΠ΅ΠΆΠ΄Ρƒ Π½ΠΈΠΌΠΈ Π² ΡΠΎΠΎΡ‚вСтствии с Π·Π°Π»ΠΎΠΆΠ΅Π½Π½ΠΎΠΉ Π² ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΎΠΉ. Π’ ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ ΠΌΠΈΠΊΡ€ΠΎΠΏΡ€ΠΎΡ†Π΅ΡΡΠΎΡ€ΠΎΠ², ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Ρ… Π² ΠΏΠ΅Ρ€ΡΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π°Ρ…, ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ содСрТат встроСнныС Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ устройства. Π­Ρ‚ΠΈ устройства Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡŽΡ‚ свои Π·Π°Π΄Π°Ρ‡ΠΈ ΠΏΠΎΠ΄ ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ΠΌ микропроцСссорного ядра ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°.

К Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ распространСнным встроСнным устройствам относятся устройства памяти ΠΈ ΠΏΠΎΡ€Ρ‚Ρ‹ Π²Π²ΠΎΠ΄Π°/Π²Ρ‹Π²ΠΎΠ΄Π° (I/O), интСрфСйсы связи, Ρ‚Π°ΠΉΠΌΠ΅Ρ€Ρ‹, систСмныС часы. Устройства памяти Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΈΠ²Π½ΡƒΡŽ ΠΏΠ°ΠΌΡΡ‚ΡŒ (RAM), постоянныС Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°ΡŽΡ‰ΠΈΠ΅ устройства (ROM), ΠΏΠ΅Ρ€Π΅ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡƒΡŽ ROM (EPROM), элСктричСски ΠΏΠ΅Ρ€Π΅ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡƒΡŽ ROM (EEPROM). Π’Π°ΠΉΠΌΠ΅Ρ€Ρ‹ Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ ΠΈ Ρ‡Π°ΡΡ‹ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, ΠΈ Ρ‚Π°ΠΉΠΌΠ΅Ρ€Ρ‹ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ. БрСдства I/O Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΏΠΎΡ€Ρ‚Ρ‹ связи, ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Π΅ ΠΏΠΎΡ€Ρ‚Ρ‹ (I/O Π»ΠΈΠ½ΠΈΠΈ), Π°Π½Π°Π»ΠΎΠ³ΠΎ-Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Π΅ ΠΏΡ€Π΅ΠΎΠ±Ρ€Π°Π·ΠΎΠ²Π°Ρ‚Π΅Π»ΠΈ (A/D), Ρ†ΠΈΡ„Ρ€ΠΎΠ°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Π΅ ΠΏΡ€Π΅ΠΎΠ±Ρ€Π°Π·ΠΎΠ²Π°Ρ‚Π΅Π»ΠΈ (D/A), Π΄Ρ€Π°ΠΉΠ²Π΅Ρ€Ρ‹ ТидкокристалличСского дисплСя (LCD) ΠΈΠ»ΠΈ Π΄Ρ€Π°ΠΉΠ²Π΅Ρ€Ρ‹ Π²Π°ΠΊΡƒΡƒΠΌΠ½ΠΎΠ³ΠΎ флуорСсцСнтного дисплСя (VFD). ВстроСнныС устройства ΠΎΠ±Π»Π°Π΄Π°ΡŽΡ‚ ΠΏΠΎΠ²Ρ‹ΡˆΠ΅Π½Π½ΠΎΠΉ Π½Π°Π΄Π΅ΠΆΠ½ΠΎΡΡ‚ΡŒΡŽ, ΠΏΠΎΡΠΊΠΎΠ»ΡŒΠΊΡƒ ΠΎΠ½ΠΈ Π½Π΅ Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ Π½ΠΈΠΊΠ°ΠΊΠΈΡ… Π²Π½Π΅ΡˆΠ½ΠΈΡ… элСктричСских Ρ†Π΅ΠΏΠ΅ΠΉ.

Π’ ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠΌ ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ Π½Π°Π·Ρ‹Π²Π°ΡŽΡ‚ ΠΏΠ»Π°Ρ‚Ρƒ, ΠΏΠΎΡΡ‚Ρ€ΠΎΠ΅Π½Π½ΡƒΡŽ Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°, Π½ΠΎ Π΄ΠΎΡΡ‚Π°Ρ‚ΠΎΡ‡Π½ΠΎ часто ΠΏΡ€ΠΈ использовании понятия «ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€» ΠΏΡ€ΠΈΠΌΠ΅Π½ΡΡŽΡ‚ сокращСнноС Π½Π°Π·Π²Π°Π½ΠΈΠ΅ этого устройства, отбрасывая приставку «ΠΌΠΈΠΊΡ€ΠΎ» для простоты. Π’Π°ΠΊΠΆΠ΅ ΠΏΡ€ΠΈ ΡƒΠΏΠΎΠΌΠΈΠ½Π°Π½ΠΈΠΈ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΌΠΎΠΆΠ½ΠΎ Π²ΡΡ‚Ρ€Π΅Ρ‚ΠΈΡ‚ΡŒ слова «Ρ‡ΠΈΠΏ» ΠΈΠ»ΠΈ «ΠΌΠΈΠΊΡ€ΠΎΡ‡ΠΈΠΏ», «ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»» (Π±ΠΎΠ»ΡŒΡˆΠΈΠ½ΡΡ‚Π²ΠΎ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΈΠ·Π³ΠΎΡ‚Π°Π²Π»ΠΈΠ²Π°ΡŽΡ‚ Π½Π° Π΅Π΄ΠΈΠ½ΠΎΠΌ кристаллС крСмния), сокращСния ΠœΠš ΠΈΠ»ΠΈ ΠΎΡ‚ Π°Π½Π³Π»ΠΈΠΉΡΠΊΠΎΠ³ΠΎ microcontroller — MC.

ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° микросхСма элСктронный ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ ΠΌΠΎΠΆΠ½ΠΎ Π²ΡΡ‚Ρ€Π΅Ρ‚ΠΈΡ‚ΡŒ Π² ΠΎΠ³Ρ€ΠΎΠΌΠ½ΠΎΠΌ количСствС соврСмСнных ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½Ρ‹Ρ… ΠΈ Π±Ρ‹Ρ‚ΠΎΠ²Ρ‹Ρ… ΠΏΡ€ΠΈΠ±ΠΎΡ€ΠΎΠ²: станках, автомобилях, Ρ‚Π΅Π»Π΅Ρ„ΠΎΠ½Π°Ρ…, Ρ‚Π΅Π»Π΅Π²ΠΈΠ·ΠΎΡ€Π°Ρ…, Ρ…ΠΎΠ»ΠΎΠ΄ΠΈΠ»ΡŒΠ½ΠΈΠΊΠ°Ρ…, ΡΡ‚ΠΈΡ€Π°Π»ΡŒΠ½Ρ‹Ρ… ΠΌΠ°ΡˆΠΈΠ½Π°Ρ…. ΠΈ Π΄Π°ΠΆΠ΅ ΠΊΠΎΡ„Π΅Π²Π°Ρ€ΠΊΠ°Ρ…. Π‘Ρ€Π΅Π΄ΠΈ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»Π΅ΠΉ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΌΠΎΠΆΠ½ΠΎ Π½Π°Π·Π²Π°Ρ‚ΡŒ Intel, Motorola, Hitachi, Microchip, Atmel, Philips, Texas Instruments, Infineon Technologies (Π±Ρ‹Π²ΡˆΠ°Ρ Siemens Semiconductor Group) ΠΈ ΠΌΠ½ΠΎΠ³ΠΈΡ… Π΄Ρ€ΡƒΠ³ΠΈΡ…. Для производства соврСмСнных микросхСм Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ΡΡ свСрхчистыС помСщСния.

ΠžΡΠ½ΠΎΠ²Π½Ρ‹ΠΌ классификационным ΠΏΡ€ΠΈΠ·Π½Π°ΠΊΠΎΠΌ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² являСтся Ρ€Π°Π·Ρ€ΡΠ΄Π½ΠΎΡΡ‚ΡŒ Π΄Π°Π½Π½Ρ‹Ρ…, ΠΎΠ±Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Π΅ΠΌΡ‹Ρ… Π°Ρ€ΠΈΡ„ΠΌΠ΅Ρ‚ΠΈΠΊΠΎ-логичСским устройством (АЛУ). По ΡΡ‚ΠΎΠΌΡƒ ΠΏΡ€ΠΈΠ·Π½Π°ΠΊΡƒ ΠΎΠ½ΠΈ дСлятся Π½Π° 4-, 8-, 16-, 32 — ΠΈ 64-разрядныС. БСгодня наибольшая доля ΠΌΠΈΡ€ΠΎΠ²ΠΎΠ³ΠΎ Ρ€Ρ‹Π½ΠΊΠ° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΏΡ€ΠΈΠ½Π°Π΄Π»Π΅ΠΆΠΈΡ‚ Π²ΠΎΡΡŒΠΌΠΈΡ€Π°Π·Ρ€ΡΠ΄Π½Ρ‹ΠΌ устройствам (ΠΎΠΊΠΎΠ»ΠΎ 50% Π² ΡΡ‚оимостном Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠΈ). Π—Π° Π½ΠΈΠΌΠΈ ΡΠ»Π΅Π΄ΡƒΡŽΡ‚ 16-разрядныС ΠΈ DSP-ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ (DSP — Digital Signal Processor — Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ ΡΠΈΠ³Π½Π°Π»ΡŒΠ½Ρ‹ΠΉ процСссор), ΠΎΡ€ΠΈΠ΅Π½Ρ‚ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Π΅ Π½Π° ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ Π² ΡΠΈΡΡ‚Π΅ΠΌΠ°Ρ… ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ сигналов (каТдая ΠΈΠ· Π³Ρ€ΡƒΠΏΠΏ Π·Π°Π½ΠΈΠΌΠ°Π΅Ρ‚ ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π½ΠΎ ΠΏΠΎ 20% Ρ€Ρ‹Π½ΠΊΠ°). Π’Π½ΡƒΡ‚Ρ€ΠΈ ΠΊΠ°ΠΆΠ΄ΠΎΠΉ Π³Ρ€ΡƒΠΏΠΏΡ‹ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ дСлятся Π½Π° CISC — ΠΈ RISC-устройства. НаиболСС многочислСнной Π³Ρ€ΡƒΠΏΠΏΠΎΠΉ ΡΠ²Π»ΡΡŽΡ‚ΡΡ CISC-ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹, Π½ΠΎ Π² ΠΏΠΎΡΠ»Π΅Π΄Π½ΠΈΠ΅ Π³ΠΎΠ΄Ρ‹ срСди Π½ΠΎΠ²Ρ‹Ρ… Ρ‡ΠΈΠΏΠΎΠ² Π½Π°ΠΌΠ΅Ρ‚ΠΈΠ»Π°ΡΡŒ явная тСндСнция роста Π΄ΠΎΠ»ΠΈ RISC-Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρ‹.

Вактовая частота, ΠΈΠ»ΠΈ, Π±ΠΎΠ»Π΅Π΅ Ρ‚ΠΎΡ‡Π½ΠΎ, ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒ ΡˆΠΈΠ½Ρ‹, опрСдСляСт, сколько вычислСний ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΎ Π·Π° Π΅Π΄ΠΈΠ½ΠΈΡ†Ρƒ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ. Π’ ΠΎΡΠ½ΠΎΠ²Π½ΠΎΠΌ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° ΠΈ ΠΏΠΎΡ‚рСбляСмая ΠΈΠΌ ΠΌΠΎΡ‰Π½ΠΎΡΡ‚ΡŒ ΡƒΠ²Π΅Π»ΠΈΡ‡ΠΈΠ²Π°ΡŽΡ‚ΡΡ с ΠΏΠΎΠ²Ρ‹ΡˆΠ΅Π½ΠΈΠ΅ΠΌ Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠΉ частоты. ΠŸΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° ΠΈΠ·ΠΌΠ΅Ρ€ΡΡŽΡ‚ Π² MIPS (Million Instruсtions per Second — ΠΌΠΈΠ»Π»ΠΈΠΎΠ½ инструкций Π² ΡΠ΅ΠΊΡƒΠ½Π΄Ρƒ).

Π’Π΅Ρ€ΠΌΠΈΠ½ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ образовался ΠΎΡ‚ Π°Π½Π³Π»ΠΈΠΉΡΠΊΠΎΠ³ΠΎ слова to control — ΡƒΠΏΡ€Π°Π²Π»ΡΡ‚ΡŒ. Π­Ρ‚ΠΈ устройства ΠΌΠΎΠ³ΡƒΡ‚ ΠΎΡΠ½ΠΎΠ²Ρ‹Π²Π°Ρ‚ΡŒΡΡ Π½Π° Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠ°Ρ… Ρ€Π°Π±ΠΎΡ‚Ρ‹ ΠΎΡ‚ ΠΌΠ΅Ρ…аничСских ΠΈΠ»ΠΈ оптичСских устройств Π΄ΠΎ ΡΠ»Π΅ΠΊΡ‚Ρ€ΠΎΠ½Π½Ρ‹Ρ… Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Ρ… ΠΈΠ»ΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств. ΠœΠ΅Ρ…Π°Π½ΠΈΡ‡Π΅ΡΠΊΠΈΠ΅ устройства управлСния ΠΎΠ±Π»Π°Π΄Π°ΡŽΡ‚ Π½ΠΈΠ·ΠΊΠΎΠΉ Π½Π°Π΄Π΅ΠΆΠ½ΠΎΡΡ‚ΡŒΡŽ ΠΈ Π²Ρ‹ΡΠΎΠΊΠΎΠΉ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒΡŽ ΠΏΠΎ ΡΡ€Π°Π²Π½Π΅Π½ΠΈΡŽ с ΡΠ»Π΅ΠΊΡ‚Ρ€ΠΎΠ½Π½Ρ‹ΠΌΠΈ Π±Π»ΠΎΠΊΠ°ΠΌΠΈ управлСния, поэтому Π² Π΄Π°Π»ΡŒΠ½Π΅ΠΉΡˆΠ΅ΠΌ ΠΌΡ‹ Ρ‚Π°ΠΊΠΈΠ΅ устройства Ρ€Π°ΡΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°Ρ‚ΡŒ Π½Π΅ Π±ΡƒΠ΄Π΅ΠΌ. Π­Π»Π΅ΠΊΡ‚Ρ€ΠΎΠ½Π½Ρ‹Π΅ Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Π΅ устройства Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ постоянной Ρ€Π΅Π³ΡƒΠ»ΠΈΡ€ΠΎΠ²ΠΊΠΈ Π² ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ эксплуатации, Ρ‡Ρ‚ΠΎ ΡƒΠ²Π΅Π»ΠΈΡ‡ΠΈΠ²Π°Π΅Ρ‚ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ ΠΈΡ… ΡΠΊΡΠΏΠ»ΡƒΠ°Ρ‚Π°Ρ†ΠΈΠΈ. ΠŸΠΎΡΡ‚ΠΎΠΌΡƒ Ρ‚Π°ΠΊΠΈΠ΅ устройства ΠΊ Π½Π°ΡΡ‚оящСму Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΏΠΎΡ‡Ρ‚ΠΈ Π½Π΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ся. НаиболСС распространСнными Π½Π° ΡΠ΅Π³ΠΎΠ΄Π½ΡΡˆΠ½ΠΈΠΉ дСнь схСмами управлСния ΡΠ²Π»ΡΡŽΡ‚ΡΡ схСмы, построСнныС Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… микросхСм.

Π’ Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ ΡΡ‚оимости ΠΈ Π³Π°Π±Π°Ρ€ΠΈΡ‚ΠΎΠ² устройства, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΌ трСбуСтся ΡƒΠΏΡ€Π°Π²Π»ΡΡ‚ΡŒ, ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚ΡΡ ΠΈ Ρ‚рСбования ΠΊ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρƒ. Если ΠΎΠ±ΡŠΠ΅ΠΊΡ‚ управлСния Π·Π°Π½ΠΈΠΌΠ°Π΅Ρ‚ дСсятки ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΏΠΎ ΠΏΠ»ΠΎΡ‰Π°Π΄ΠΈ, ΠΊΠ°ΠΊ, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, автоматичСскиС Ρ‚Π΅Π»Π΅Ρ„ΠΎΠ½Π½Ρ‹Π΅ станции, Π±Π°Π·ΠΎΠ²Ρ‹Π΅ станции сотовых систСм связи ΠΈΠ»ΠΈ Ρ€Π°Π΄ΠΈΠΎΡ€Π΅Π»Π΅ΠΉΠ½Ρ‹Π΅ Π»ΠΈΠ½ΠΈΠΈ связи, Ρ‚ΠΎ Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΌΠΎΠΆΠ½ΠΎ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Π΅ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Ρ‹. Π£ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ ΠΏΡ€ΠΈ этом ΠΌΠΎΠΆΠ½ΠΎ ΠΎΡΡƒΡ‰Π΅ΡΡ‚Π²Π»ΡΡ‚ΡŒ Ρ‡Π΅Ρ€Π΅Π· встроСнныС ΠΏΠΎΡ€Ρ‚Ρ‹ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π° (LPT, COM, USB ΠΈΠ»ΠΈ Ethernet). Π’ Ρ‚Π°ΠΊΠΈΠ΅ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Ρ‹ ΠΏΡ€ΠΈ Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠΈ питания заносится ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰Π°Ρ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°, которая ΠΈ ΠΏΡ€Π΅Π²Ρ€Π°Ρ‰Π°Π΅Ρ‚ ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹ΠΉ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€ Π² ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€.

ИспользованиС ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½ΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π° Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° позволяСт Π² ΠΊΡ€Π°Ρ‚Ρ‡Π°ΠΉΡˆΠΈΠ΅ сроки ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚ΡŒ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΡƒ Π½ΠΎΠ²Ρ‹Ρ… систСм связи, Π»Π΅Π³ΠΊΠΎ ΠΈΡ… ΠΌΠΎΠ΄Π΅Ρ€Π½ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ (ΠΏΡƒΡ‚Ρ‘ΠΌ простой смСны ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹) Π° Ρ‚Π°ΠΊΠΆΠ΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ Π³ΠΎΡ‚ΠΎΠ²Ρ‹Π΅ массовыС (Π° Π·Π½Π°Ρ‡ΠΈΡ‚ Π΄Π΅ΡˆΡ‘Π²Ρ‹Π΅) Π±Π»ΠΎΠΊΠΈ.

Если ΠΆΠ΅ ΠΊ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρƒ ΠΏΡ€Π΅Π΄ΡŠΡΠ²Π»ΡΡŽΡ‚ΡΡ особСнныС трСбования, Ρ‚Π°ΠΊΠΈΠ΅, ΠΊΠ°ΠΊ Ρ€Π°Π±ΠΎΡ‚Π° Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ… тряски, Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½Π½ΠΎΠΌ Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Π΅ Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€, воздСйствия агрСссивных срСд, Ρ‚ΠΎ ΠΏΡ€ΠΈΡ…одится ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½Ρ‹Π΅ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚Ρ‹ ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€ΠΎΠ². ЕстСствСнно, Ρ‡Ρ‚ΠΎ эти ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Ρ‹ Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ Π΄ΠΎΡ€ΠΎΠΆΠ΅ ΠΎΠ±Ρ‹Ρ‡Π½Ρ‹Ρ… ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€ΠΎΠ², Π½ΠΎ Π²ΡΡ‘ Ρ€Π°Π²Π½ΠΎ ΠΎΠ½ΠΈ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΡΠΊΠΎΠ½ΠΎΠΌΠΈΡ‚ΡŒ врСмя Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ систСмы, Π·Π° ΡΡ‡Ρ‘Ρ‚ Ρ‚ΠΎΠ³ΠΎ, Ρ‡Ρ‚ΠΎ Π½Π΅ Π½ΡƒΠΆΠ½ΠΎ вСсти Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΡƒ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Ρ‹ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°.

ΠšΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ΡΡ Π½Π΅ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ для Π±ΠΎΠ»ΡŒΡˆΠΈΡ… систСм, Π½ΠΎ ΠΈ Π΄Π»Ρ ΠΌΠ°Π»ΠΎΠ³Π°Π±Π°Ρ€ΠΈΡ‚Π½Ρ‹Ρ… устройств, Ρ‚Π°ΠΊΠΈΡ… ΠΊΠ°ΠΊ Ρ€Π°Π΄ΠΈΠΎΠΏΡ€ΠΈΡ‘ΠΌΠ½ΠΈΠΊΠΈ, радиостанции, ΠΌΠ°Π³Π½ΠΈΡ‚ΠΎΡ„ΠΎΠ½Ρ‹ ΠΈΠ»ΠΈ сотовыС Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Ρ‹. Π’ Ρ‚Π°ΠΊΠΈΡ… устройствах ΠΊ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°ΠΌ ΠΏΡ€Π΅Π΄ΡŠΡΠ²Π»ΡΡŽΡ‚ΡΡ ТёсткиС трСбования ΠΏΠΎ ΡΡ‚оимости, Π³Π°Π±Π°Ρ€ΠΈΡ‚Π°ΠΌ ΠΈ Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Π½ΠΎΠΌΡƒ Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Ρƒ Ρ€Π°Π±ΠΎΡ‚Ρ‹. Π­Ρ‚ΠΈΠΌ трСбованиям Π½Π΅ ΠΌΠΎΠ³ΡƒΡ‚ ΡƒΠ΄ΠΎΠ²Π»Π΅Ρ‚Π²ΠΎΡ€ΠΈΡ‚ΡŒ Π΄Π°ΠΆΠ΅ ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½Ρ‹Π΅ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚Ρ‹ ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½ΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π°. ΠŸΡ€ΠΈΡ…ΠΎΠ΄ΠΈΡ‚ΡΡ вСсти Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΡƒ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ ΠΎΠ΄Π½ΠΎΠΊΡ€ΠΈΡΡ‚Π°Π»ΡŒΠ½Ρ‹Ρ… Π­Π’Πœ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π² ΡΠ²ΠΎΡŽ ΠΎΡ‡Π΅Ρ€Π΅Π΄ΡŒ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΠ»ΠΈ Π½Π°Π·Π²Π°Π½ΠΈΠ΅ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹. Π›ΡŽΠ±Ρ‹Π΅ устройства, Π² Ρ‚ΠΎΠΌ числС ΠΈ ΡƒΡΡ‚ройства связи, Ρ€Π°Π΄ΠΈΠΎΠ°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠΊΠΈ ΠΈΠ»ΠΈ Π°ΡƒΠ΄ΠΈΠΎΠ²ΠΈΠ·ΡƒΠ°Π»ΡŒΠ½ΠΎΠΉ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Ρ‹ Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ присутствия Π² ΡΠ²ΠΎΠ΅ΠΌ составС устройства управлСния (ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°). ΠšΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ΡΡ практичСски Π²ΠΎ Π²ΡΠ΅Ρ… ΠΏΡ€Π΅Π΄ΠΌΠ΅Ρ‚Π°Ρ… ΠΈ ΡƒΡΡ‚ройствах, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΎΠΊΡ€ΡƒΠΆΠ°ΡŽΡ‚ нас. НаиболСС распространёнными Π² Π½Π°ΡΡ‚оящСС врСмя ΡΠ²Π»ΡΡŽΡ‚ΡΡ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ сСмСйства MCS-51. Π­Ρ‚ΠΎ сСмСйство поддСрТиваСтся рядом Ρ„ΠΈΡ€ΠΌ — ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»Π΅ΠΉ микросхСм. НС ΠΌΠ΅Π½Π΅Π΅ распространёнными Π² ΠΌΠΈΡ€Π΅ ΡΠ²Π»ΡΡŽΡ‚ΡΡ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ Ρ„ΠΈΡ€ΠΌΡ‹ Motorola. Π­Ρ‚ΠΎ Ρ‚Π°ΠΊΠΈΠ΅ сСмСйства ΠΊΠ°ΠΊ HC05, HC07, HC11 ΠΈ ΠΌΠ½ΠΎΠ³ΠΈΠ΅ Π΄Ρ€ΡƒΠ³ΠΈΠ΅. ΠŸΠΎΠΆΠ°Π»ΡƒΠΉ, Π½Π΅ ΠΌΠ΅Π½Π΅Π΅ популярными ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°ΠΌΠΈ ΡΠ²Π»ΡΡŽΡ‚ΡΡ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ сСмСйства AVR Ρ„ΠΈΡ€ΠΌΡ‹ Atmel. Если ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²ΠΈΡ‚ΡŒ всС Ρ‚ΠΈΠΏΡ‹ соврСмСнных ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² (МК), Ρ‚ΠΎ ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΡ€Π°Π·ΠΈΡ‚ΡŒΡΡ ΠΎΠ³Ρ€ΠΎΠΌΠ½Ρ‹ΠΌ количСством Ρ€Π°Π·Π½ΠΎΠΎΠ±Ρ€Π°Π·Π½Ρ‹Ρ… ΠΏΡ€ΠΈΠ±ΠΎΡ€ΠΎΠ² этого класса, доступных ΠΏΠΎΡ‚Ρ€Π΅Π±ΠΈΡ‚Π΅Π»ΡŽ. Однако всС эти ΠΏΡ€ΠΈΠΎΡ€Ρ‹ ΠΌΠΎΠΆΠ½ΠΎ Ρ€Π°Π·Π΄Π΅Π»ΠΈΡ‚ΡŒ Π½Π° ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ основныС Ρ‚ΠΈΠΏΡ‹: встраиваСмыС (embedded) 8-разрядныС ΠœΠš; 16 — ΠΈ 32-разрядныС ΠœΠš; Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Π΅ ΡΠΈΠ³Π½Π°Π»ΡŒΠ½Ρ‹Π΅ процСссоры. ΠŸΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½ΠΎΡΡ‚ΡŒΡŽ Π²Ρ‹ΠΏΡƒΡΠΊΠ°ΡŽΡ‚ΡΡ ΠΎΡ‡Π΅Π½ΡŒ ΡˆΠΈΡ€ΠΎΠΊΠ°Ρ Π½ΠΎΠΌΠ΅Π½ΠΊΠ»Π°Ρ‚ΡƒΡ€Π° встраиваСмых ΠœΠš. Π’ Π½ΠΈΡ… всС Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡ‹Π΅ рСсурсы (ΠΏΠ°ΠΌΡΡ‚ΡŒ, устройства Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π° ΠΈ Ρ‚. Π΄.) Ρ€Π°ΡΠΏΠΎΠ»Π°Π³Π°ΡŽΡ‚ΡΡ Π½Π° ΠΎΠ΄Π½ΠΎΠΌ кристаллС с ΠΏΡ€ΠΎΡ†Π΅ΡΡΠΎΡ€Π½Ρ‹ΠΌ ядром. Если ΠΏΠΎΠ΄Π°Ρ‚ΡŒ ΠΏΠΈΡ‚Π°Π½ΠΈΠ΅ ΠΈ Ρ‚Π°ΠΊΡ‚ΠΎΠ²Ρ‹Π΅ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΡ‹ Π½Π° ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠ΅ Π²Ρ…ΠΎΠ΄Ρ‹ МК, Ρ‚ΠΎ ΠΌΠΎΠΆΠ½ΠΎ ΡΠΊΠ°Π·Π°Ρ‚ΡŒ, Ρ‡Ρ‚ΠΎ ΠΎΠ½ ΠΊΠ°ΠΊ Π±Ρ‹ «ΠΎΠΆΠΈΠ²Π΅Ρ‚» ΠΈ Ρ Π½ΠΈΠΌ ΠΌΠΎΠΆΠ½ΠΎ Π±ΡƒΠ΄Π΅Ρ‚ Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ. ΠžΠ±Ρ‹Ρ‡Π½ΠΎ МК содСрТат Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ число Π²ΡΠΏΠΎΠΌΠΎΠ³Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… устройств, благодаря Ρ‡Π΅ΠΌΡƒ обСспСчиваСтся ΠΈΡ… Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ Π² Ρ€Π΅Π°Π»ΡŒΠ½ΡƒΡŽ систСму с ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ минимального количСства Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ². Π’ ΡΠΎΡΡ‚Π°Π² этих ΠœΠš входят:

Π‘Ρ…Π΅ΠΌΠ° Π½Π°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ запуска процСссора (Reset);

Π“Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ Ρ‚Π°ΠΊΡ‚ΠΎΠ²Ρ‹Ρ… ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ²;

Π¦Π΅Π½Ρ‚Ρ€Π°Π»ΡŒΠ½Ρ‹ΠΉ процСссор;

ΠŸΠ°ΠΌΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ (E (E) PROM) ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΉ интСрфСйс;

БрСдства Π²Π²ΠΎΠ΄Π°/Π²Ρ‹Π²ΠΎΠ΄Π° Π΄Π°Π½Π½Ρ‹Ρ…;

Π’Π°ΠΉΠΌΠ΅Ρ€Ρ‹, Ρ„ΠΈΠΊΡΠΈΡ€ΡƒΡŽΡ‰ΠΈΠ΅ число ΠΊΠΎΠΌΠ°Π½Π΄Π½Ρ‹Ρ… Ρ†ΠΈΠΊΠ»ΠΎΠ².

ΠžΠ±Ρ‰Π°Ρ структура ΠœΠš ΠΏΠΎΠΊΠ°Π·Π°Π½Π° Π½Π° (Рис. 1.1.) Π­Ρ‚Π° структура Π΄Π°Π΅Ρ‚ прСдставлСниС ΠΎ Ρ‚ΠΎΠΌ, ΠΊΠ°ΠΊ ΠœΠš связываСтся с Π²Π½Π΅ΡˆΠ½ΠΈΠΌ ΠΌΠΈΡ€ΠΎΠΌ. Π‘ΠΎΠ»Π΅Π΅ слоТныС встраиваСмыС ΠœΠš ΠΌΠΎΠ³ΡƒΡ‚ Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ Ρ€Π΅Π°Π»ΠΈΠ·ΠΎΠ²Ρ‹Π²Π°Ρ‚ΡŒ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ возмоТности:

ВстроСнный ΠΌΠΎΠ½ΠΈΡ‚ΠΎΡ€/ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ;

Π’Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΠ΅ срСдства программирования памяти ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ (ROM);

ΠžΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ ΠΎΡ‚ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… источников;

Аналоговый Π²Π²ΠΎΠ΄/Π²Ρ‹Π²ΠΎΠ΄;

ΠŸΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ Π²Π²ΠΎΠ΄/Π²Ρ‹Π²ΠΎΠ΄ (синхронный ΠΈ Π°ΡΠΈΠ½Ρ…Ρ€ΠΎΠ½Π½Ρ‹ΠΉ);

ΠŸΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹ΠΉ Π²Π²ΠΎΠ΄/Π²Ρ‹Π²ΠΎΠ΄ (Π²ΠΊΠ»ΡŽΡ‡Π°Ρ интСрфСйс с ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€ΠΎΠΌ);

ΠŸΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ внСшнСй памяти (микропроцСссорный Ρ€Π΅ΠΆΠΈΠΌ).

ВсС эти возмоТности Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ ΡƒΠ²Π΅Π»ΠΈΡ‡ΠΈΠ²Π°ΡŽΡ‚ Π³ΠΈΠ±ΠΊΠΎΡΡ‚ΡŒ примСнСния ΠœΠš ΠΈ Π΄Π΅Π»Π°ΡŽΡ‚ Π±ΠΎΠ»Π΅Π΅ простым процСсс Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ систСм Π½Π° ΠΈ ΠΎΡΠ½ΠΎΠ²Π΅.

Рис.1.1 Π‘Ρ‚Ρ€ΡƒΠΊΡ‚ΡƒΡ€Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°.

НСкоторыС ΠœΠš (особСнно 16 — ΠΈ 32-разрядныС) ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ внСшнюю ΠΏΠ°ΠΌΡΡ‚ΡŒ, которая Π²ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ Π² ΡΠ΅Π±Ρ ΠΊΠ°ΠΊ ΠΏΠ°ΠΌΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ (ROM), Ρ‚Π°ΠΊ ΠΈ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ объСм памяти Π΄Π°Π½Π½Ρ‹Ρ… (RAM), Ρ‚Ρ€Π΅Π±ΡƒΠ΅ΠΌΡ‹ΠΉ для Π΄Π°Π½Π½ΠΎΠ³ΠΎ примСнСния. Они ΠΏΡ€ΠΈΠΌΠ΅Π½ΡΡŽΡ‚ΡΡ Π² ΡΠΈΡΡ‚Π΅ΠΌΠ°Ρ…, Π³Π΄Π΅ трСбуСтся большой объСм памяти ΠΈ ΠΎΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ Π½Π΅ Π±ΠΎΠ»ΡŒΡˆΠΎΠ΅ количСство устройств (ΠΏΠΎΡ€Ρ‚ΠΎΠ²) Π²Π²ΠΎΠ΄Π°/Π²Ρ‹Π²ΠΎΠ΄Π°. Π’ΠΈΠΏΠΈΡ‡Π½Ρ‹ΠΌ ΠΏΡ€ΠΈΠΌΠ΅Ρ€ΠΎΠΌ примСнСния Ρ‚Π°ΠΊΠΎΠ³ΠΎ ΠœΠš с Π²Π½Π΅ΡˆΠ½Π΅ΠΉ ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ являСтся ΠΊΠΎΡ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ТСсткого диска (HDD) с Π±ΡƒΡ„Π΅Ρ€Π½ΠΎΠΉ кэш-ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ обСспСчиваСт ΠΏΡ€ΠΎΠΌΠ΅ΠΆΡƒΡ‚ΠΎΡ‡Π½ΠΎΠ΅ Ρ…Ρ€Π°Π½Π΅Π½ΠΈΠ΅ ΠΈ Ρ€Π°ΡΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΈΠ΅ Π±ΠΎΠ»ΡŒΡˆΠΈΡ… объСмов Π΄Π°Π½Π½Ρ‹Ρ… (порядка Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… ΠΌΠ΅Π³Π°Π±Π°ΠΉΡ‚). Π’Π½Π΅ΡˆΠ½ΡΡ ΠΏΠ°ΠΌΡΡ‚ΡŒ Π΄Π°Π΅Ρ‚ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Ρ‚Π°ΠΊΠΎΠΌΡƒ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρƒ Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ с Π±ΠΎΠ»Π΅Π΅ высокой ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒΡŽ, Ρ‡Π΅ΠΌ встраиваСмый ΠœΠš.

Π¦ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Π΅ ΡΠΈΠ³Π½Π°Π»ΡŒΠ½Ρ‹Π΅ процСссоры (DSP) — ΠΎΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ новая катСгория процСссоров. НазначСниС DSP состоит Π² Ρ‚ΠΎΠΌ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΠΏΠΎΠ»ΡƒΡ‡Π°Ρ‚ΡŒ Ρ‚Π΅ΠΊΡƒΡ‰ΠΈΠ΅ Π΄Π°Π½Π½Ρ‹Π΅ ΠΎΡ‚ Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²ΠΎΠΉ систСмы, ΠΎΠ±Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Ρ‚ΡŒ Π΄Π°Π½Π½Ρ‹Π΅ ΠΈ Ρ„ΠΎΡ€ΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠΉ ΠΎΡ‚ΠΊΠ»ΠΈΠΊ Π² Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠΌ ΠΌΠ°ΡΡˆΡ‚Π°Π±Π΅ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ. Они ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ входят Π² ΡΠΎΡΡ‚Π°Π² систСм, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡΡΡŒ Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ устройств управлСния внСшним ΠΎΠ±ΠΎΡ€ΡƒΠ΄ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ, ΠΈ Π½Π΅ ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Ρ‹ для Π°Π²Ρ‚ΠΎΠ½ΠΎΠΌΠ½ΠΎΠ³ΠΎ примСнСния.

БистСма ΠΊΠΎΠΌΠ°Π½Π΄.

Π’ Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ Ρ‡ΠΈΡΠ»Π° ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Ρ… ΠΊΠΎΠ΄ΠΎΠ² ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΉ систСмы ΠΊΠΎΠΌΠ°Π½Π΄ ΠœΠš ΠΌΠΎΠΆΠ½ΠΎ Ρ€Π°Π·Π΄Π΅Π»ΠΈΡ‚ΡŒ Π½Π° Π΄Π²Π΅ Π³Ρ€ΡƒΠΏΠΏΡ‹: CISC ΠΈ RISC. Π’Π΅Ρ€ΠΌΠΈΠ½ CISC ΠΎΠ·Π½Π°Ρ‡Π°Π΅Ρ‚ ΡΠ»ΠΎΠΆΠ½ΡƒΡŽ систСму ΠΊΠΎΠΌΠ°Π½Π΄ ΠΈ ΡΠ²Π»ΡΠ΅Ρ‚ся Π°Π±Π±Ρ€Π΅Π²ΠΈΠ°Ρ‚ΡƒΡ€ΠΎΠΉ английского опрСдСлСния Complex Instruction Set Computer. Аналогично Ρ‚Π΅Ρ€ΠΌΠΈΠ½ RISC ΠΎΠ·Π½Π°Ρ‡Π°Π΅Ρ‚ ΡΠΎΠΊΡ€Π°Ρ‰Π΅Π½Π½ΡƒΡŽ систСму ΠΊΠΎΠΌΠ°Π½Π΄ ΠΈ ΠΏΡ€ΠΎΠΈΡΡ…ΠΎΠ΄ΠΈΡ‚ ΠΎΡ‚ Π°Π½Π³Π»ΠΈΠΉΡΠΊΠΎΠ³ΠΎ Reduce Instruction Set Computer. БистСму ΠΊΠΎΠΌΠ°Π½Π΄ ΠœΠš 8051 ΠΌΠΎΠΆΠ½ΠΎ отнСсти ΠΊ Ρ‚ΠΈΠΏΡƒ CISC. Однако, Π½Π΅ ΡΠΌΠΎΡ‚ря Π½Π° ΡˆΠΈΡ€ΠΎΠΊΡƒΡŽ Ρ€Π°ΡΠΏΡ€ΠΎΡΡ‚Ρ€Π°Π½Π΅Π½Π½ΠΎΡΡ‚ΡŒ этих понятий, Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΏΡ€ΠΈΠ·Π½Π°Ρ‚ΡŒ, Ρ‡Ρ‚ΠΎ сами названия Π½Π΅ ΠΎΡ‚Ρ€Π°ΠΆΠ°ΡŽΡ‚ Π³Π»Π°Π²Π½ΠΎΠ³ΠΎ различия ΠΌΠ΅ΠΆΠ΄Ρƒ систСмами ΠΊΠΎΠΌΠ°Π½Π΄ CISC ΠΈ RISC. Основная идСя RISC Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρ‹ — это Ρ‚Ρ‰Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ ΠΏΠΎΠ΄Π±ΠΎΡ€ Ρ‚Π°ΠΊΠΈΡ… ΠΊΠΎΠΌΠ±ΠΈΠ½Π°Ρ†ΠΈΠΉ ΠΊΠΎΠ΄ΠΎΠ² ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΉ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠΆΠ½ΠΎ Π±Ρ‹Π»ΠΎ Π±Ρ‹ Π²Ρ‹ΠΏΠΎΠ»Π½ΠΈΡ‚ΡŒ Π·Π° ΠΎΠ΄ΠΈΠ½ Ρ‚Π°ΠΊΡ‚ Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π°. Основной Π²Ρ‹ΠΈΠ³Ρ€Ρ‹Ρˆ ΠΎΡ‚ Ρ‚Π°ΠΊΠΎΠ³ΠΎ ΠΏΠΎΠ΄Ρ…ΠΎΠ΄Π° — Ρ€Π΅Π·ΠΊΠΎΠ΅ ΡƒΠΏΡ€ΠΎΡ‰Π΅Π½ΠΈΠ΅ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠΉ Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ Π¦ΠŸ ΠΈ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ ΠΏΠΎΠ²Ρ‹ΡΠΈΡ‚ΡŒ Π΅Π³ΠΎ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ.

ΠžΡ‡Π΅Π²ΠΈΠ΄Π½ΠΎ, Ρ‡Ρ‚ΠΎ Π² ΠΎΠ±Ρ‰Π΅ΠΌ случаС ΠΎΠ΄Π½ΠΎΠΉ ΠΊΠΎΠΌΠ°Π½Π΄Π΅ CISC соотвСтствуСт нСсколько ΠΊΠΎΠΌΠ°Π½Π΄ RISC. Однако ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ Π²Ρ‹ΠΈΠ³Ρ€Ρ‹Ρˆ ΠΎΡ‚ ΠΏΠΎΠ²Ρ‹ΡˆΠ΅Π½ΠΈΡ быстродСйствия Π² Ρ€Π°ΠΌΠΊΠ°Ρ… RISC ΠΏΠ΅Ρ€Π΅ΠΊΡ€Ρ‹Π²Π°Π΅Ρ‚ ΠΏΠΎΡ‚Π΅Ρ€ΠΈ ΠΎΡ‚ ΠΌΠ΅Π½Π΅Π΅ эффСктивной систСмы ΠΊΠΎΠΌΠ°Π½Π΄, Ρ‡Ρ‚ΠΎ ΠΏΡ€ΠΈΠ²ΠΎΠ΄ΠΈΡ‚ ΠΊ Π±ΠΎΠ»Π΅Π΅ высокой эффСктивности RISC систСм Π² Ρ†Π΅Π»ΠΎΠΌ ΠΏΠΎ ΡΡ€Π°Π²Π½Π΅Π½ΠΈΡŽ с CISC.

Однако Π² Π½Π°ΡΡ‚оящСС врСмя Π³Ρ€Π°Π½ΡŒ ΠΌΠ΅ΠΆΠ΄Ρƒ CISC ΠΈ RISC Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€ΠΎΠΉ ΡΡ‚Ρ€Π΅ΠΌΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ стираСтся. НапримСр, МК ΡΠ΅ΠΌΠ΅ΠΉΡΡ‚Π²Π° AVR Ρ„ΠΈΡ€ΠΌΡ‹ Atmel ΠΈΠΌΠ΅ΡŽΡ‚ систСму ΠΊΠΎΠΌΠ°Π½Π΄ ΠΈΠ· 120 инструкций, Ρ‡Ρ‚ΠΎ соотвСтствуСт Ρ‚ΠΈΠΏΡƒ CISC. Однако Π±ΠΎΠ»ΡŒΡˆΠΈΠ½ΡΡ‚Π²ΠΎ ΠΈΠ· Π½ΠΈΡ… выполняСтся Π·Π° ΠΎΠ΄ΠΈΠ½ Ρ‚Π°ΠΊΡ‚, Ρ‡Ρ‚ΠΎ являСтся ΠΏΡ€ΠΈΠ·Π½Π°ΠΊΠΎΠΌ RISC Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρ‹. БСгодня принято ΡΡ‡ΠΈΡ‚Π°Ρ‚ΡŒ, Ρ‡Ρ‚ΠΎ ΠΏΡ€ΠΈΠ·Π½Π°ΠΊΠΎΠΌ RISC Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρ‹ являСтся Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ ΠΊΠΎΠΌΠ°Π½Π΄ Π·Π° ΠΎΠ΄ΠΈΠ½ Ρ‚Π°ΠΊΡ‚ Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π°. Число ΠΊΠΎΠΌΠ°Π½Π΄ само ΠΏΠΎ ΡΠ΅Π±Π΅ значСния ΡƒΠΆΠ΅ Π½Π΅ ΠΈΠΌΠ΅Π΅Ρ‚.

Π’ΠΈΠΏΡ‹ памяти ΠœΠš.

МоТно Π²Ρ‹Π΄Π΅Π»ΠΈΡ‚ΡŒ Ρ‚Ρ€ΠΈ основных Π²ΠΈΠ΄Π° памяти, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΠΎΠΉ Π² ΠœΠš:

Π°) ΠΏΠ°ΠΌΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ;

Π±) ΠΏΠ°ΠΌΡΡ‚ΡŒ Π΄Π°Π½Π½Ρ‹Ρ…;

Π²) рСгистры МК.

ΠŸΠ°ΠΌΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ прСдставляСт собой ΠΏΠΎΡΡ‚ΠΎΡΠ½Π½ΡƒΡŽ ΠΏΠ°ΠΌΡΡ‚ΡŒ, ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π½ΡƒΡŽ для хранСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ ΠΊΠΎΠ΄Π° ΠΈ ΠΊΠΎΠ½ΡΡ‚Π°Π½Ρ‚. Π­Ρ‚Π° ΠΏΠ°ΠΌΡΡ‚ΡŒ Π½Π΅ ΠΈΠ·ΠΌΠ΅Π½ΡΠ΅Ρ‚ содСрТимого Π² ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ выполнСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹. ΠŸΠ°ΠΌΡΡ‚ΡŒ Π΄Π°Π½Π½Ρ‹Ρ… ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π° для хранСния ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… Π² Ρ…ΠΎΠ΄Π΅ выполнСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹. РСгистры МК — этот Π²ΠΈΠ΄ памяти Π²ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΠ΅ рСгистры процСссора ΠΈ Ρ€Π΅Π³ΠΈΡΡ‚Ρ€Ρ‹, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ слуТат для управлСния ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹ΠΌΠΈ устройствами.

Для хранСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ слуТит ΠΎΠ΄ΠΈΠ½ ΠΈΠ· Π²ΠΈΠ΄ΠΎΠ² постоянной памяти: ROM (масочныС ΠŸΠ—Π£), PROM (ΠΎΠ΄Π½ΠΎΠΊΡ€Π°Ρ‚Π½ΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ ΠŸΠ—Π£), EPROM (элСктричСски ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ ΠŸΠ—Π£ с ΡƒΠ»ΡŒΡ‚Ρ€Π°Ρ„ΠΈΠΎΠ»Π΅Ρ‚ΠΎΠ²Ρ‹ΠΌ стираниСм) ΠΈΠ»ΠΈ EEPROM (ΠŸΠ—Π£ с ΡΠ»Π΅ΠΊΡ‚ричСской записью ΠΈ ΡΡ‚ΠΈΡ€Π°Π½ΠΈΠ΅ΠΌ, ΠΊ ΡΡ‚ΠΎΠΌΡƒ Π²ΠΈΠ΄Ρƒ Ρ‚Π°ΠΊΠΆΠ΅ относятся соврСмСнныС микросхСмы Flash-памяти). ВсС эти Π²ΠΈΠ΄Ρ‹ памяти ΡΠ²Π»ΡΡŽΡ‚ΡΡ энСргонСзависимыми — это ΠΎΠ·Π½Π°Ρ‡Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ содСрТимоС памяти сохраняСтся послС Π²Ρ‹ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ питания ΠœΠš.

ΠœΠ½ΠΎΠ³ΠΎΠΊΡ€Π°Ρ‚Π½ΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ ΠŸΠ—Π£ — EPROM ΠΈ EEPROM (Electrically Erasable Programmable Memory) ΠΏΠΎΠ΄Ρ€Π°Π·Π΄Π΅Π»ΡΡŽΡ‚ΡΡ Π½Π° ΠŸΠ—Π£ со ΡΡ‚ΠΈΡ€Π°Π½ΠΈΠ΅ΠΌ ΡƒΠ»ΡŒΡ‚Ρ€Π°Ρ„ΠΈΠΎΠ»Π΅Ρ‚ΠΎΠ²Ρ‹ΠΌ (Π£Π€) ΠΎΠ±Π»ΡƒΡ‡Π΅Π½ΠΈΠ΅ΠΌ (Π²Ρ‹ΠΏΡƒΡΠΊΠ°ΡŽΡ‚ΡΡ Π² ΠΊΠΎΡ€ΠΏΡƒΡΠ°Ρ… с ΠΎΠΊΠ½ΠΎΠΌ), ΠΈ ΠœΠš с ΡΠ»Π΅ΠΊΡ‚ричСски ΠΏΠ΅Ρ€Π΅ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΠΎΠΉ ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ, соотвСтствСнно.

Π’ Π½Π°ΡΡ‚оящСС врСмя ΠΏΡ€ΠΎΡ‚ΠΎΠΊΠΎΠ»Ρ‹ программирования соврСмСнной EEPROM памяти ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠœΠš нСпосрСдствСнно Π² ΡΠΎΡΡ‚Π°Π²Π΅ систСмы, Π³Π΄Π΅ ΠΎΠ½ Ρ€Π°Π±ΠΎΡ‚Π°Π΅Ρ‚. Π’Π°ΠΊΠΎΠΉ способ программирования ΠΏΠΎΠ»ΡƒΡ‡ΠΈΠ» Π½Π°Π·Π²Π°Π½ΠΈΠ΅ — ISP (In System Programming). И Ρ‚Π΅ΠΏΠ΅Ρ€ΡŒ ΠΌΠΎΠΆΠ½ΠΎ пСриодичСски ΠΎΠ±Π½ΠΎΠ²Π»ΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ΅ обСспСчСниС ΠœΠš Π±Π΅Π· удалСния ΠΈΠ· ΠΏΠ»Π°Ρ‚Ρ‹. Π­Ρ‚ΠΎ Π΄Π°Π΅Ρ‚ ΠΎΠ³Ρ€ΠΎΠΌΠ½Ρ‹ΠΉ Π²Ρ‹ΠΈΠ³Ρ€Ρ‹Ρˆ Π½Π° Π½Π°Ρ‡Π°Π»ΡŒΠ½Ρ‹Ρ… этапах Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ систСм Π½Π° Π±Π°Π·Π΅ ΠœΠš ΠΈΠ»ΠΈ Π² ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ ΠΈΡ… ΠΈΠ·ΡƒΡ‡Π΅Π½ΠΈΡ, ΠΊΠΎΠ³Π΄Π° масса Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΡƒΡ…ΠΎΠ΄ΠΈΡ‚ Π½Π° ΠΌΠ½ΠΎΠ³ΠΎΠΊΡ€Π°Ρ‚Π½Ρ‹ΠΉ поиск ΠΏΡ€ΠΈΡ‡ΠΈΠ½ нСработоспособности систСмы ΠΈ Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ ΠΏΠΎΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΡ… Ρ†ΠΈΠΊΠ»ΠΎΠ² стирания-программирования памяти ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ.

Π€ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎ Flash-ΠΏΠ°ΠΌΡΡ‚ΡŒ ΠΌΠ°Π»ΠΎ отличаСтся ΠΎΡ‚ EEPROM. ОсновноС Ρ€Π°Π·Π»ΠΈΡ‡ΠΈΠ΅ состоит Π² ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΠΈ стирания записанной ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ. Π’ ΠΏΠ°ΠΌΡΡ‚ΠΈ EEPROM стираниС производится ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½ΠΎ для ΠΊΠ°ΠΆΠ΄ΠΎΠΉ ячСйки, Π° Π²ΠΎ Flash-памяти стираниС осущСствляСтся Ρ†Π΅Π»Ρ‹ΠΌΠΈ Π±Π»ΠΎΠΊΠ°ΠΌΠΈ.

ΠžΠ—Π£ (RAM) — ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΈΠ²Π½ΠΎΠ΅ Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°ΡŽΡ‰Π΅Π΅ устройство, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ для хранСния Π΄Π°Π½Π½Ρ‹Ρ…. Π­Ρ‚Ρƒ ΠΏΠ°ΠΌΡΡ‚ΡŒ Π½Π°Π·Ρ‹Π²Π°ΡŽΡ‚ Π΅Ρ‰Π΅ ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ Π΄Π°Π½Π½Ρ‹Ρ…. Число Ρ†ΠΈΠΊΠ»ΠΎΠ² чтСния ΠΈ Π·Π°ΠΏΠΈΡΠΈ Π² ΠžΠ—Π£ Π½Π΅ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Π½ΠΎ, Π½ΠΎ ΠΏΡ€ΠΈ ΠΎΡ‚ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ питания вся информация тСряСтся.

Π Π°Π·Π΄Π΅Π» 2. Π‘Ρ€Π΅Π΄Ρ‹ программирования. Π‘Ρ…Π΅ΠΌΡ‹ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Π°Ρ срСда «AVR Studio»  — это ΠΌΠΎΡ‰Π½Ρ‹ΠΉ соврСмСнный ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΉ ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚, ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰ΠΈΠΉ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚ΡŒ всС этапы Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ для Π»ΡŽΠ±Ρ‹Ρ… ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² сСрии AVR. ΠŸΠ°ΠΊΠ΅Ρ‚ Π²ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ Π² ΡΠ΅Π±Ρ спСциализированный тСкстовый Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ для написания ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ, ΠΌΠΎΡ‰Π½Ρ‹ΠΉ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΉ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊ.

ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, «AVR Studio» позволяСт ΡƒΠΏΡ€Π°Π²Π»ΡΡ‚ΡŒ Ρ†Π΅Π»Ρ‹ΠΌ рядом ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Π΅ΠΌΡ‹Ρ… ΠΊ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Ρƒ Π²Π½Π΅ΡˆΠ½ΠΈΡ… устройств, ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰ΠΈΡ… Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΡƒΡŽ ΠΎΡ‚Π»Π°Π΄ΠΊΡƒ, Π° Ρ‚Π°ΠΊΠΆΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ («ΠΏΡ€ΠΎΡˆΠΈΠ²ΠΊΡƒ») микросхСм AVR.

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Π°Ρ срСда «AVR Studio» Ρ€Π°Π±ΠΎΡ‚Π°Π΅Ρ‚ Π½Π΅ ΠΏΡ€ΠΎΡΡ‚ΠΎ с ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°ΠΌΠΈ, Π° Ρ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°ΠΌΠΈ. Для ΠΊΠ°ΠΆΠ΄ΠΎΠ³ΠΎ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° Π΄ΠΎΠ»ΠΆΠ΅Π½ Π±Ρ‹Ρ‚ΡŒ ΠΎΡ‚Π²Π΅Π΄Π΅Π½ свой ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΉ ΠΊΠ°Ρ‚Π°Π»ΠΎΠ³ Π½Π° ΠΆΠ΅ΡΡ‚ΠΊΠΎΠΌ дискС. Π’ AVR Studio ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎ ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ Π·Π°Π³Ρ€ΡƒΠΆΠ΅Π½ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΎΠ΄ΠΈΠ½ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚.

ΠŸΡ€ΠΈ Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠ΅ Π½ΠΎΠ²ΠΎΠ³ΠΎ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° ΠΏΡ€Π΅Π΄Ρ‹Π΄ΡƒΡ‰ΠΈΠΉ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ автоматичСски выгруТаСтся. ΠŸΡ€ΠΎΠ΅ΠΊΡ‚ содСрТит всю ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΡŽ ΠΎ Ρ€Π°Π·Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Π΅ΠΌΠΎΠΉ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ ΠΈ ΠΏΡ€ΠΈΠΌΠ΅Π½ΡΠ΅ΠΌΠΎΠΌ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π΅. Он ΡΠΎΡΡ‚ΠΎΠΈΡ‚ ΠΈΠ· Ρ†Π΅Π»ΠΎΠ³ΠΎ Π½Π°Π±ΠΎΡ€Π° Ρ„Π°ΠΉΠ»ΠΎΠ².

Π“Π»Π°Π²Π½Ρ‹ΠΉ ΠΈΠ· Π½ΠΈΡ… — Ρ„Π°ΠΉΠ» ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°. Он ΠΈΠΌΠ΅Π΅Ρ‚ Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠ΅ aps. Π€Π°ΠΉΠ» ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° содСрТит свСдСния ΠΎ Ρ‚ΠΈΠΏΠ΅ процСссора, частотС Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π° ΠΈ Ρ‚. Π΄. Он Ρ‚Π°ΠΊΠΆΠ΅ содСрТит описаниС всСх ΠΎΡΡ‚Π°Π»ΡŒΠ½Ρ‹Ρ… Ρ„Π°ΠΉΠ»ΠΎΠ², входящих Π² ΠΏΡ€ΠΎΠ΅ΠΊΡ‚. ВсС эти свСдСния ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ ΠΏΡ€ΠΈ ΠΎΡ‚Π»Π°Π΄ΠΊΠ΅ ΠΈ Ρ‚рансляции ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹.

ΠšΡ€ΠΎΠΌΠ΅ Ρ„Π°ΠΉΠ»Π° aps, ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ Π΄ΠΎΠ»ΠΆΠ΅Π½ ΡΠΎΠ΄Π΅Ρ€ΠΆΠ°Ρ‚ΡŒ хотя Π±Ρ‹ ΠΎΠ΄ΠΈΠ½ Ρ„Π°ΠΉΠ» с Ρ‚Скстом ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹. Π’Π°ΠΊΠΎΠΉ Ρ„Π°ΠΉΠ» ΠΈΠΌΠ΅Π΅Ρ‚ Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠ΅ asm. НСдостаточно просто ΠΏΠΎΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ Ρ„Π°ΠΉΠ» asm Π² Π΄ΠΈΡ€Π΅ΠΊΡ‚ΠΎΡ€ΠΈΡŽ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°. Π•Π³ΠΎ Π½ΡƒΠΆΠ½ΠΎ Π΅Ρ‰Π΅ Π²ΠΊΠ»ΡŽΡ‡ΠΈΡ‚ΡŒ Π² ΠΏΡ€ΠΎΠ΅ΠΊΡ‚. ΠŸΡ€ΠΎΠ΅ΠΊΡ‚ ΠΌΠΎΠΆΠ΅Ρ‚ ΡΠΎΠ΄Π΅Ρ€ΠΆΠ°Ρ‚ΡŒ нСсколько Ρ„Π°ΠΉΠ»ΠΎΠ² asm. ΠŸΡ€ΠΈ этом ΠΎΠ΄ΠΈΠ½ ΠΈΠ· Π½ΠΈΡ… являСтся Π³Π»Π°Π²Π½Ρ‹ΠΌ. ΠžΡΡ‚Π°Π»ΡŒΠ½Ρ‹Π΅ ΠΌΠΎΠ³ΡƒΡ‚ Π²Ρ‹Π·Ρ‹Π²Π°Ρ‚ΡŒΡΡ ΠΈΠ· Π³Π»Π°Π²Π½ΠΎΠ³ΠΎ ΠΏΡ€ΠΈ ΠΏΠΎΠΌΠΎΡ‰ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€Π°. include. На ΡΡ‚ΠΎΠΌ заканчиваСтся список Ρ„Π°ΠΉΠ»ΠΎΠ² ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΡΠΎΠ·Π΄Π°ΡŽΡ‚ΡΡ ΠΏΡ€ΠΈ участии программиста.

Algorithm Builder ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½ для производства ΠΏΠΎΠ»Π½ΠΎΠ³ΠΎ Ρ†ΠΈΠΊΠ»Π° Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ начиная ΠΎΡ‚ Π²Π²ΠΎΠ΄Π° Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠ°, Π²ΠΊΠ»ΡŽΡ‡Π°Ρ процСсс ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ ΠΈ Π·Π°ΠΊΠ°Π½Ρ‡ΠΈΠ²Π°Ρ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ кристалла.

Algorithm Builder довольно нСтрадиционная ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° Π² ΠΏΠ»Π°Π½Π΅ языка программирования; ассСмблСр, Π·Π°Π²Π΅Ρ€Π½ΡƒΡ‚Ρ‹ΠΉ Π² ΠΊΡ€Π°ΡΠΈΠ²ΡƒΡŽ ΠΎΠ±Π΅Ρ€Ρ‚ΠΊΡƒ Π²ΠΈΠ·ΡƒΠ°Π»ΡŒΠ½ΠΎΠ³ΠΎ программирования. Algorithm Builder — Π²ΠΈΠ·ΡƒΠ°Π»ΡŒΠ½Ρ‹ΠΉ ассСмблСр ΠΈΠ»ΠΈ ΠΏΠΎΡΡ‚Ρ€ΠΎΠΈΡ‚Π΅Π»ΡŒ ассСмблСра с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚ΡŒ максимально эффСктивный ΠΊΠΎΠ΄.

Π Π°Π±ΠΎΡ‚Π° с ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹ΠΌΠΈ ΠΈ ΠΊΠΎΠ½ΡΡ‚Π°Π½Ρ‚Π°ΠΌΠΈ ΠΎΡ€Π³Π°Π½ΠΈΠ·ΠΎΠ²Π°Π½Π° гСниально просто. Π˜Π½ΠΈΡ†ΠΈΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΡ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚ΡŒΡΡ Π² ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½ΠΎΠΌ ΠΎΠΊΠ½Π΅ Π² Π²ΠΈΠ΄Π΅ Ρ‚Π°Π±Π»ΠΈΡ†Ρ‹ — освобоТдая Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌ ΠΎΡ‚ Π»ΠΈΡˆΠ½ΠΈΡ… записСй. Π’ Π±ΡƒΠΊΠ²Π°Π»ΡŒΠ½ΠΎΠΌ смыслС слова всС Ρ€Π°Π·Π»ΠΎΠΆΠ΅Π½ΠΎ ΠΏΠΎ ΠΏΠΎΠ»ΠΎΡ‡ΠΊΠ°ΠΌ.

Algorithm Builder ΠΈΠΌΠ΅Π΅Ρ‚ ΡƒΠ΄ΠΎΠ±Π½Ρ‹ΠΉ настройщик ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΈ (Ρ‚Π°ΠΉΠΌΠ΅Ρ€Ρ‹, UART, ADC, SPI ΠΈ Ρ‚. Π΄.) ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰ΠΈΠΉ, Π½Π΅ Ρ‡ΠΈΡ‚ая Π΄Π°Ρ‚Π°ΡˆΠΈΡ‚ΠΎΠ², просто Π²Ρ‹Π±Ρ€Π°Ρ‚ΡŒ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡ‹Π΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ Ρ€Π°Π±ΠΎΡ‚Ρ‹ устройства Π² ΠΎΠΊΠ½Π΅ настройки. Π’ ΡΡ‚ΠΎΠΌ ΠΆΠ΅ ΠΎΠΊΠ½Π΅ Builder чСстно ΠΏΠΎΠΊΠ°ΠΆΠ΅Ρ‚ Π½Π°Π±ΠΎΡ€ инструкций, ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‰ΠΈΡ… эти ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹.

ΠŸΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Ρ‹ ΠΎΡΡƒΡ‰Π΅ΡΡ‚Π²Π»ΡΡŽΡ‚ΡΡ Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ ΠΎΡ‡Π΅Π½ΡŒ наглядно — Π²Π΅ΠΊΡ‚ΠΎΡ€ΠΎΠΌ. Если трСбуСтся ΠΏΠ΅Ρ€Π΅ΠΉΡ‚ΠΈ ΠΏΠΎ ΡƒΡΠ»ΠΎΠ²ΠΈΡŽ Π² ΠΊΠ°ΠΊΡƒΡŽ Π»ΠΈΠ±ΠΎ Ρ‚ΠΎΡ‡ΠΊΡƒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ — Π½ΡƒΠΆΠ½ΠΎ просто провСсти Π²Π΅ΠΊΡ‚ΠΎΡ€ Π² ΡΡ‚Ρƒ Ρ‚ΠΎΡ‡ΠΊΡƒ. Π­Ρ‚ΠΎ освобоТдаСт ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ ΠΎΡ‚ Π±Π΅ΡΡ‡ΠΈΡΠ»Π΅Π½Π½Ρ‹Ρ… ΠΈΠΌΠ΅Π½ ΠΌΠ΅Ρ‚ΠΎΠΊ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π² ΠΊΠ»Π°ΡΡΠΈΡ‡Π΅ΡΠΊΠΎΠΌ ассСмблСрС ΡΠ²Π»ΡΡŽΡ‚ΡΡ Π½Π΅ΠΈΠ·Π±Π΅ΠΆΠ½Ρ‹ΠΌ балластом. ΠŸΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Ρ‹ ΠΏΠΎ ΠΈΠΌΠ΅Π½ΠΎΠ²Π°Π½Π½Ρ‹ΠΌ ΠΌΠ΅Ρ‚ΠΊΠ°ΠΌ Ρ‚Π°ΠΊ ΠΆΠ΅ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½Ρ‹.

ВнутрисхСмноС ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ кристалла. ΠŸΡ€ΠΈ использовании внутрисхСмного ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ΡΡ ΠΊ COM ΠΏΠΎΡ€Ρ‚Ρƒ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π° Ρ‡Π΅Ρ€Π΅Π· нСслоТный Π°Π΄Π°ΠΏΡ‚Π΅Ρ€ (Ρ‚Ρ€ΠΈ Π΄ΠΈΠΎΠ΄Π° ΠΈ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΎ рСзисторов). Π’Π°ΠΊΠΆΠ΅ Π΅ΡΡ‚ΡŒ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚ USB ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ. ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€ Π²Π΅Π΄Π΅Ρ‚ подсчСт числа ΠΏΠ΅Ρ€Π΅ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΉ кристалла, сохраняя счСтчик нСпосрСдствСнно Π² ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅. ΠŸΡ€ΠΎΡ†Π΅ΡΡ программирования кристалла ΠΎΡ‡Π΅Π½ΡŒ прост — Π² Π΄Π²Π° «Ρ…ΠΎΠ΄Π°» .

ΠœΠΎΠ½ΠΈΡ‚ΠΎΡ€Π½Π°Ρ ΠΎΡ‚Π»Π°Π΄ΠΊΠ° Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅. Algorithm Builder обСспСчиваСт ΠΌΠΎΠ½ΠΈΡ‚ΠΎΡ€Π½ΡƒΡŽ ΠΎΡ‚Π»Π°Π΄ΠΊΡƒ Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅ (On Chip debug) которая позволяСт Π½Π°Π±Π»ΡŽΠ΄Π°Ρ‚ΡŒ содСрТимоС Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ кристалла Π² Π·Π°Π΄Π°Π½Π½ΠΎΠΉ Ρ‚ΠΎΡ‡ΠΊΠ΅ останова. ΠŸΡ€ΠΈ этом для связи ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° с ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€ΠΎΠΌ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΎΠ΄ΠΈΠ½ Π²Ρ‹Π²ΠΎΠ΄, ΠΏΡ€ΠΈΡ‡Π΅ΠΌ ΠΏΠΎ Π²Ρ‹Π±ΠΎΡ€Ρƒ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Ρ. ΠœΠΎΠ½ΠΈΡ‚ΠΎΡ€Π½Π°Ρ ΠΎΡ‚Π»Π°Π΄ΠΊΠ° ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½Π° ΠΊ Π»ΡŽΠ±ΠΎΠΌΡƒ Ρ‚ΠΈΠΏΡƒ кристалла, ΠΈΠΌΠ΅ΡŽΡ‰Π΅Π³ΠΎ SRAM. Π­Ρ‚ΠΎ софтвСрный Π²Π°Ρ€ΠΈΠ°Π½Ρ‚ debugWIRE.

Для Ρ‚ΠΎΠ³ΠΎ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ написанная ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° ΠΏΡ€Π΅Π²Ρ€Π°Ρ‚ΠΈΠ»Π°ΡΡŒ Π² Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠΉ ΠΊΠΎΠ΄ ΠΈ Π·Π°Ρ€Π°Π±ΠΎΡ‚Π°Π»Π° Π² ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚Π½ΠΎΠΌ микропроцСссорном устройствС, Π΅Π΅ Π½ΡƒΠΆΠ½ΠΎ ΠΎΡ‚Ρ‚Ρ€Π°Π½ΡΠ»ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΈ «Π·Π°ΡˆΠΈΡ‚ΡŒ» Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΡƒΡŽ ΠΏΠ°ΠΌΡΡ‚ΡŒ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°.

ΠŸΡ€ΠΈ написании ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ нСльзя ΠΎΠ±ΠΎΠΉΡ‚ΠΈΡΡŒ Π±Π΅Π· ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ. ΠžΡ‚Π»Π°Π΄ΠΊΠ° выполняСтся Π½Π° ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π΅ ΠΏΡ€ΠΈ ΠΏΠΎΠΌΠΎΡ‰ΠΈ ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½ΠΎΠΉ ΠΈΠ½ΡΡ‚Ρ€ΡƒΠΌΠ΅Π½Ρ‚Π°Π»ΡŒΠ½ΠΎΠΉ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ — ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊΠ°. Он ΠΏΠΎΠ·Π²ΠΎΠ»ΡΠ΅Ρ‚ пошагово Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ ΠΎΡ‚Π»Π°ΠΆΠΈΠ²Π°Π΅ΠΌΡƒΡŽ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ, Π° Ρ‚Π°ΠΊΠΆΠ΅ выполняСт Π΅Π΅ ΠΏΠΎΡΡ‚Π°ΠΏΠ½ΠΎ с ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ, Ρ‚Π°ΠΊ Π½Π°Π·Ρ‹Π²Π°Π΅ΠΌΡ‹Ρ… Ρ‚ΠΎΡ‡Π΅ΠΊ останова.

Π’ ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ выполнСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ ΠΏΠΎΠ΄ ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ΠΌ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊΠ° ΠΌΠΎΠΆΠ½ΠΎ Π½Π° ΡΠΊΡ€Π°Π½Π΅ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π°:

1) Π²ΠΈΠ΄Π΅Ρ‚ΡŒ содСрТимоС любого рСгистра ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°;

2) Π²ΠΈΠ΄Π΅Ρ‚ΡŒ содСрТимоС ΠžΠ—Π£ ΠΈ EEPROM;

3) Π½Π°Π±Π»ΡŽΠ΄Π°Ρ‚ΡŒ Π·Π° ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒΡŽ выполнСния ΠΊΠΎΠΌΠ°Π½Π΄, контролируя ΠΏΡ€Π°Π²ΠΈΠ»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΎΡ‚Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ условных ΠΈ Π±Π΅Π·ΡƒΡΠ»ΠΎΠ²Π½Ρ‹Ρ… ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΎΠ²;

4) Π½Π°Π±Π»ΡŽΠ΄Π°Ρ‚ΡŒ Π·Π° Ρ€Π°Π±ΠΎΡ‚ΠΎΠΉ Ρ‚Π°ΠΉΠΌΠ΅Ρ€ΠΎΠ², ΠΎΡ‚Ρ€Π°Π±ΠΎΡ‚ΠΊΠΎΠΉ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ.

Π’ ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ Ρ‚Π°ΠΊΠΆΠ΅ ΠΌΠΎΠΆΠ½ΠΎ Π½Π°Π±Π»ΡŽΠ΄Π°Ρ‚ΡŒ логичСскиС ΡƒΡ€ΠΎΠ²Π½ΠΈ Π½Π° Π»ΡŽΠ±ΠΎΠΌ внСшнСм Π²Ρ‹Ρ…ΠΎΠ΄Π΅ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. А Ρ‚Π°ΠΊΠΆΠ΅ ΠΈΠΌΠΈΡ‚ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΈΠ·ΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ сигналов Π½Π° Π»ΡŽΠ±ΠΎΠΌ Π²Ρ…ΠΎΠ΄Π΅. ΠŸΡ€ΠΎΡ†Π΅ΡΡ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ позволяСт ΡƒΠ±Π΅Π΄ΠΈΡ‚ΡŒΡΡ Π² Ρ‚ΠΎΠΌ, Ρ‡Ρ‚ΠΎ разрабатываСмая ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° Ρ€Π°Π±ΠΎΡ‚Π°Π΅Ρ‚ ΠΈΠΌΠ΅Π½Π½ΠΎ Ρ‚Π°ΠΊ, ΠΊΠ°ΠΊ Π½ΡƒΠΆΠ½ΠΎ.

БущСствуСт Ρ‚Ρ€ΠΈ основных Π²ΠΈΠ΄Π° ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊΠΎΠ²:

— ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Π΅;

— Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹Π΅;

— ΠΊΠΎΠΌΠ±ΠΈΠ½ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Π΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎ-Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹Π΅.

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΉ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊ

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΉ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊ — это ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π½Π°Ρ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°, которая ΠΈΠΌΠΈΡ‚ΠΈΡ€ΡƒΠ΅Ρ‚ Ρ€Π°Π±ΠΎΡ‚Ρƒ процСссора Π½Π° ΡΠΊΡ€Π°Π½Π΅ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π°. Она Π½Π΅ Ρ‚Ρ€Π΅Π±ΡƒΠ΅Ρ‚ Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠΉ микросхСмы ΠΈΠ»ΠΈ Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… Π²Π½Π΅ΡˆΠ½ΠΈΡ… устройств ΠΈ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΠ΅Ρ‚ ΠΎΡ‚Π»Π°Π΄ΠΈΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ чисто Π²ΠΈΡ€Ρ‚ΡƒΠ°Π»ΡŒΠ½ΠΎ.

Однако ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΉ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊ позволяСт ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΈΡ‚ΡŒ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π»ΠΎΠ³ΠΈΠΊΡƒ Ρ€Π°Π±ΠΎΡ‚Ρ‹ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹. ΠŸΡ€ΠΈ ΠΏΠΎΠΌΠΎΡ‰ΠΈ Ρ‚Π°ΠΊΠΎΠ³ΠΎ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊΠ° Π½Π΅Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΈΡ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρƒ схСмы Π² Ρ€Π΅ΠΆΠΈΠΌΠ΅ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΈΠ»ΠΈ Ρ€Π°Π±ΠΎΡ‚Ρƒ всСго микропроцСссорного устройства Π² ΠΊΠΎΠΌΠΏΠ»Π΅ΠΊΡΠ΅, Ρ‚. Π΅ Π½Π΅Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎ Π³Π°Ρ€Π°Π½Ρ‚ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΏΡ€Π°Π²ΠΈΠ»ΡŒΠ½ΡƒΡŽ Ρ€Π°Π±ΠΎΡ‚Ρƒ ΠΈ Π²ΡΠ΅Ρ… ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½Π½Ρ‹Ρ… ΠΊ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρƒ Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… микросхСм ΠΈ ΡΠ»Π΅ΠΌΠ΅Π½Ρ‚ΠΎΠ².

Аппаратный ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊ

Основа Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠ³ΠΎ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊΠ° — ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½Π°Ρ ΠΏΠ»Π°Ρ‚Π°, ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Π΅ΠΌΠ°Ρ ΠΊ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Ρƒ, Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‰Π°Ρ ΠΏΠΎΠ΄ Π΅Π³ΠΎ ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ΠΌ ΠΈ ΠΈΠΌΠΈΡ‚ΠΈΡ€ΡƒΡŽΡ‰Π°Ρ Ρ€Π°Π±ΠΎΡ‚Ρƒ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠΉ микросхСмы ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. ΠŸΠ»Π°Ρ‚Π° ΠΈΠΌΠ΅Π΅Ρ‚ Π²Ρ‹Π²ΠΎΠ΄Ρ‹, ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠ΅ Π²Ρ‹Π²ΠΎΠ΄Π°ΠΌ Ρ€Π΅Π°Π»ΡŒΠ½ΠΎΠΉ микросхСмы, Π½Π° ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Π² ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ ΠΏΠΎΡΠ²Π»ΡΡŽΡ‚ΡΡ Ρ€Π΅Π°Π»ΡŒΠ½Ρ‹Π΅ сигналы.

ΠŸΡ€ΠΈ ΠΏΠΎΠΌΠΎΡ‰ΠΈ этих Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² отладочная ΠΏΠ»Π°Ρ‚Π° ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ Π²ΠΊΠ»ΡŽΡ‡Π΅Π½Π° Π² Ρ€Π΅Π°Π»ΡŒΠ½ΡƒΡŽ схСму. Π’ΠΎΠ·Π½ΠΈΠΊΠ°ΡŽΡ‰ΠΈΠ΅ Π² ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ элСктричСскиС сигналы ΠΌΠΎΠΆΠ½ΠΎ Π½Π°Π±Π»ΡŽΠ΄Π°Ρ‚ΡŒ ΠΏΡ€ΠΈ ΠΏΠΎΠΌΠΎΡ‰ΠΈ осциллографа. МоТно Π½Π°ΠΆΠΈΠΌΠ°Ρ‚ΡŒ Ρ€Π΅Π°Π»ΡŒΠ½Ρ‹Π΅ ΠΊΠ½ΠΎΠΏΠΊΠΈ ΠΈ Π½Π°Π±Π»ΡŽΠ΄Π°Ρ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρƒ свСтодиодов ΠΈ Π΄Ρ€ΡƒΠ³ΠΈΡ… ΠΈΠ½Π΄ΠΈΠΊΠ°Ρ‚ΠΎΡ€ΠΎΠ².

Π—Π΄Π΅ΡΡŒ ΠΊΠ°ΠΊ ΠΈ Π² ΠΏΡ€Π΅Π΄Ρ‹Π΄ΡƒΡ‰Π΅ΠΌ случаС, ΠΌΠΎΠΆΠ΅ΠΌ Π²ΠΈΠ΄Π΅Ρ‚ΡŒ всю ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΎΠ± ΠΎΡ‚Π»Π°ΠΆΠΈΠ²Π°Π΅ΠΌΠΎΠΉ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅: Π½Π°Π±Π»ΡŽΠ΄Π°Ρ‚ΡŒ содСрТимоС рСгистров, ΠžΠ—Π£, ΠΏΠΎΡ€Ρ‚ΠΎΠ² Π²Π²ΠΎΠ΄Π°-Π²Ρ‹Π²ΠΎΠ΄Π°; ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ Ρ…ΠΎΠ΄ выполнСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹.

Π’ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠΌ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊΠ΅ Ρ‚Π°ΠΊ ΠΆΠ΅, ΠΊΠ°ΠΊ ΠΈ Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠΌ, ΠΌΠΎΠΆΠ½ΠΎ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ Π² ΠΏΠΎΡˆΠ°Π³ΠΎΠ²ΠΎΠΌ Ρ€Π΅ΠΆΠΈΠΌΠ΅ ΠΈ ΠΏΡ€ΠΈΠΌΠ΅Π½ΡΡ‚ΡŒ Ρ‚ΠΎΡ‡ΠΊΠΈ останова. НСдостатком Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠ³ΠΎ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊΠ° являСтся Π΅Π³ΠΎ высокая ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ.

Π˜ΠΌΠΈΡ‚Π°Ρ‚ΠΎΡ€Ρ‹

Π˜ΠΌΠΈΡ‚Π°Ρ‚ΠΎΡ€Ρ‹ — ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ Π½Π° ΡΠΊΡ€Π°Π½Π΅ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π° «ΡΠΎΠ±Ρ€Π°Ρ‚ΡŒ» Π»ΡŽΠ±ΡƒΡŽ ΡΠ»Π΅ΠΊΡ‚Ρ€ΠΎΠ½Π½ΡƒΡŽ схСму, Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰ΡƒΡŽ Π² ΡΠ΅Π±Ρ самыС Ρ€Π°Π·Π½Ρ‹Π΅ элСктронныС ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹:

a) транзисторы;

b) рСзисторы;

c) кондСнсаторы;

d) ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ усилитСли;

e) логичСскиС ΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Π΅ микросхСмы, Π² Ρ‚ΠΎΠΌ числС ΠΈ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹.

Π’Π°ΠΊΠΈΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ содСрТат ΠΎΠ±ΡˆΠΈΡ€Π½Ρ‹Π΅ Π±Π°Π·Ρ‹ элСктронных ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² ΠΈ ΠΊΠΎΠ½ΡΡ‚Ρ€ΡƒΠΊΡ‚ΠΎΡ€ элСктронных схСм. Π‘ΠΎΠ±Ρ€Π°Π² схСму, ΠΌΠΎΠΆΠ½ΠΎ Π²ΠΈΡ€Ρ‚ΡƒΠ°Π»ΡŒΠ½ΠΎ Π·Π°ΠΏΠΈΡΠ°Ρ‚ΡŒ Π² ΠΏΠ°ΠΌΡΡ‚ΡŒ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° Π²Π°ΡˆΡƒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ, Π° Π·Π°Ρ‚Π΅ΠΌ «Π·Π°ΠΏΡƒΡΡ‚ΠΈΡ‚ΡŒ» всю схСму Π² Ρ€Π°Π±ΠΎΡ‚Ρƒ.

Для контроля Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ΠΎΠ² Ρ€Π°Π±ΠΎΡ‚Ρ‹ схСмы ΠΈΠΌΠΈΡ‚Π°Ρ‚ΠΎΡ€ ΠΈΠΌΠ΅Π΅Ρ‚ Π²ΠΈΡ€Ρ‚ΡƒΠ°Π»ΡŒΠ½Ρ‹Π΅ Π²ΠΎΠ»ΡŒΡ‚ΠΌΠ΅Ρ‚Ρ€Ρ‹, Π°ΠΌΠΏΠ΅Ρ€ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΠΈ ΠΎΡΡ†ΠΈΠ»Π»ΠΎΠ³Ρ€Π°Ρ„Ρ‹, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠΆΠ½ΠΎ «ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Ρ‚ΡŒ» ΠΊ Π»ΡŽΠ±ΠΎΠΉ Ρ‚ΠΎΡ‡ΠΊΠ΅ схСмы, «ΠΈΠ·ΠΌΠ΅Ρ€ΡΡ‚ΡŒ» Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Π΅ напряТСния, Π° Ρ‚Π°ΠΊΠΆΠ΅ «ΡΠ½ΠΈΠΌΠ°Ρ‚ΡŒ» Π²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Π΅ Π΄ΠΈΠ°Π³Ρ€Π°ΠΌΠΌΡ‹.

Π’Π°ΠΊΠΈΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π² Π½Π°ΡΡ‚оящСС врСмя ΠΏΠΎΠ»ΡƒΡ‡Π°ΡŽΡ‚ всС большСС распространСниС. Они ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ Π»ΡŽΠ±ΡƒΡŽ схСму с ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠΌ ΠΈΠ»ΠΈ Π±Π΅Π· Π½Π΅Π³ΠΎ, Π±Π΅Π· использования паяльника ΠΈ Ρ€Π΅Π°Π»ΡŒΠ½Ρ‹Ρ… Π΄Π΅Ρ‚Π°Π»Π΅ΠΉ. На ΡΠΊΡ€Π°Π½Π΅ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π° ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΠ»Π½ΠΎΡΡ‚ΡŒΡŽ ΠΎΡ‚Π»Π°Π΄ΠΈΡ‚ΡŒ свою схСму ΠΈ Π»ΠΈΡˆΡŒ ΠΏΠΎΡ‚ΠΎΠΌ Π±Ρ€Π°Ρ‚ΡŒΡΡ Π·Π° ΠΏΠ°ΡΠ»ΡŒΠ½ΠΈΠΊ.

НСдостатком Π΄Π°Π½Π½ΠΎΠ³ΠΎ ΠΎΡ‚Π»Π°Π΄Ρ‡ΠΈΠΊΠ° являСтся Ρ‚ΠΎ, Ρ‡Ρ‚ΠΎ ΠΎΠ½ Ρ‚Ρ€Π΅Π±ΡƒΠ΅Ρ‚ Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… Π²Ρ‹Ρ‡ΠΈΡΠ»ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… рСсурсов. ОсобСнно Π² Ρ‚ΠΎΠΌ случаС, ΠΊΠΎΠ³Π΄Π° отлаТиваСтся схСма, Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰Π°Ρ ΠΊΠ°ΠΊ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€, Ρ‚Π°ΠΊ ΠΈ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€ΡƒΡŽ Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²ΡƒΡŽ Ρ‡Π°ΡΡ‚ΡŒ. ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, ΠΈΠΌΠΈΡ‚Π°Ρ‚ΠΎΡ€ Π½Π΅ Π²ΡΠ΅Π³Π΄Π° Π²Π΅Ρ€Π½ΠΎ ΠΈΠΌΠΈΡ‚ΠΈΡ€ΡƒΠ΅Ρ‚ Ρ€Π°Π±ΠΎΡ‚Ρƒ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… устройств. Однако ΠΏΠΎΠ΄ΠΎΠ±Π½Ρ‹Π΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ ΠΈΠΌΠ΅ΡŽΡ‚ ΠΎΡ‡Π΅Π½ΡŒ большиС пСрспСктивы.

WinAVR

WinAVR прСдставляСт собой Π½Π°Π±ΠΎΡ€ ΠΈΠ½ΡΡ‚Ρ€ΡƒΠΌΠ΅Π½Ρ‚Π°Π»ΡŒΠ½Ρ‹Ρ… срСдств для Ρ€Π°Π±ΠΎΡ‚Ρ‹ с ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°ΠΌΠΈ сСмСйства AVR Ρ„ΠΈΡ€ΠΌΡ‹ ATMEL. Π’ Π½Π΅Π³ΠΎ вошли ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹:

a) компилятор языка C avr-gcc,

b) Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ° компилятора avr-libs,

c) ассСмблСр avr-as,

d) интСрфСйс ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€Π° avrdude,

e) интСрфСйс JTAG ICE avarice,

f) Debuger avr-gdb,

g) Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ programmers notepad.

Π’Π΅ΡΡŒ этот Π½Π°Π±ΠΎΡ€ собран Π² ΠΎΠ΄ΠΈΠ½ инсталляционный ΠΏΠ°ΠΊΠ΅Ρ‚ ΠΈ ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½ для установки Π½Π° ΠΏΠ»Π°Ρ‚Ρ„ΠΎΡ€ΠΌΡƒ Windows.

Π“Π»Π°Π²Π½Ρ‹ΠΌ прСимущСством ΠΌΠΎΠ΅Π³ΠΎ Π²Ρ‹Π±ΠΎΡ€Π° ΠΈΠΌΠ΅Π½Π½ΠΎ этой микросхСмы являСтся Π΅Π΅ ΡˆΠΈΡ€ΠΎΠΊΠ°Ρ Π΄ΠΎΡΡ‚ΡƒΠΏΠ½ΠΎΡΡ‚ΡŒ ΠΈ Π½Π΅ Π²Ρ‹ΡΠΎΠΊΠ°Ρ Ρ†Π΅Π½Π°.

Рис.2.1 Виповая схСма Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° АВ89Π‘2051

Π­Π»Π΅ΠΌΠ΅Π½Ρ‚Ρ‹ R1, Π‘1 ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‚ Ρ†Π΅ΠΏΡŒ Π½Π°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ сброса ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. Она слуТит для ΠΏΠ΅Ρ€Π΅Π²ΠΎΠ΄Π° Π² ΠΈΡΡ…ΠΎΠ΄Π½ΠΎΠ΅ состояниС всСх Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… систСм ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° сразу послС Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ питания. ΠšΠ²Π°Ρ€Ρ†Π΅Π²Ρ‹ΠΉ Ρ€Π΅Π·ΠΎΠ½Π°Ρ‚ΠΎΡ€ Z1 опрСдСляСт частоту встроСнного Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. Π­Ρ‚ΠΎΡ‚ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€ ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½ для синхронизации всСх Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΡ… процСссов ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°. ΠœΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΠ° АВ89Π‘2051 допускаСт Π²Ρ‹Π±ΠΈΡ€Π°Ρ‚ΡŒ частоту ΠΊΠ²Π°Ρ€Ρ†Π΅Π²ΠΎΠ³ΠΎ Ρ€Π΅Π·ΠΎΠ½Π°Ρ‚ΠΎΡ€Π° Π΄ΠΎ 24 ΠœΠ“Ρ†. НиТний ΠΏΡ€Π΅Π΄Π΅Π» частоты Π½Π΅ ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡ΠΈΠ²Π°Π΅Ρ‚ся. ΠšΠΎΠ½Π΄Π΅Π½ΡΠ°Ρ‚ΠΎΡ€Ρ‹ Π‘2 ΠΈ Π‘Π— — это ΡΠΎΠ³Π»Π°ΡΡƒΡŽΡ‰ΠΈΠ΅ элСмСнты для ΠΊΠ²Π°Ρ€Ρ†Π°. ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ АВ89Π‘2051 допускаСт ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ Π²Ρ€Π΅ΠΌΡΠ·Π°Π΄Π°ΡŽΡ‰Π΅ΠΉ Ρ†Π΅ΠΏΠΈ рСзонансного ΠΊΠΎΠ½Ρ‚ΡƒΡ€Π°, ΠΈ Π΄Π°ΠΆΠ΅ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ внСшнСго Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠ³ΠΎ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π°. ΠžΡΡ‚Π°Π²ΡˆΠΈΠ΅ΡΡ Π²Ρ‹Π²ΠΎΠ΄Ρ‹ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²Π»ΡΡŽΡ‚ собой Π΄Π²Π° ΠΏΠΎΡ€Ρ‚Π° Π²Π²ΠΎΠ΄Π°/Π²Ρ‹Π²ΠΎΠ΄Π°, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½Ρ‹ Π 1 ΠΈ Π Π—. ИмСнно ΠΊ ΡΡ‚ΠΈΠΌ Π΄Π²ΡƒΠΌ ΠΏΠΎΡ€Ρ‚Π°ΠΌ ΠΈ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ся ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Π΅ устройства.

ΠŸΡ€Π°ΠΊΡ‚ΠΈΡ‡Π΅ΡΠΊΠΈ Π½ΠΈ ΠΎΠ΄Π½ΠΎ микропроцСссорноС устройство Π½Π΅ ΠΎΠ±Ρ…одится Π±Π΅Π· ΠΊΠ½ΠΎΠΏΠΎΠΊ ΠΈ ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠΈΡ… Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠΎΠ² Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ ΠΎΠ±Ρ‹Ρ‡Π½Ρ‹Ρ… ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚ΠΎΠ². ΠŸΡ€ΠΈ ΠΏΠΎΠΌΠΎΡ‰ΠΈ этого Π²ΠΈΠ΄Π° ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… элСмСнтов Π² ΠΌΠΈΠΊΡ€ΠΎΠΏΡ€ΠΎΡ†Π΅ΡΡΠΎΡ€Π½ΠΎΠ΅ устройство поступаСт различная информация, которая ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ для измСнСния Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠ° Ρ€Π°Π±ΠΎΡ‚Ρ‹ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹.

ΠŸΡ€ΠΈΠΌΠ΅Ρ€ΠΎΠΌ ΠΌΠΎΠΆΠ΅Ρ‚ ΡΠ»ΡƒΠΆΠΈΡ‚ΡŒ Π΄Π°Ρ‚Ρ‡ΠΈΠΊ ΠΏΠΎΠ²ΠΎΡ€ΠΎΡ‚Π° (Рис. 2.2) — мСханичСскиС ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹, связанныС с ΠΏΠΎΠ²ΠΎΡ€Π°Ρ‡ΠΈΠ²Π°Π΅ΠΌΡ‹ΠΌ устройством.

Рис.2.2 ΠŸΡ€ΠΎΡΡ‚Π°Ρ схСма ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ° Π½Π° основС Π³Π΅Ρ€ΠΊΠΎΠ½Π°

На Π²Ρ…ΠΎΠ΄ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° Ρ‡Π΅Ρ€Π΅Π· рСзистор R1 подаСтся напряТСниС ΠΎΡ‚ ΠΈΡΡ‚ΠΎΡ‡Π½ΠΈΠΊΠ° питания +5 Π’. ΠœΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΠ° воспринимаСт это напряТСниС ΠΊΠ°ΠΊ сигнал логичСской Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹. ΠŸΡ€ΠΈ срабатывании Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ° ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹ Π·Π°ΠΌΡ‹ΠΊΠ°ΡŽΡ‚ΡΡ ΠΈ ΡΠΎΠ΅Π΄ΠΈΠ½ΡΡŽΡ‚ Π²Ρ‹Π²ΠΎΠ΄ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° с ΠΎΠ±Ρ‰ΠΈΠΌ ΠΏΡ€ΠΎΠ²ΠΎΠ΄ΠΎΠΌ. Π’ Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π΅ напряТСниС Π½Π° Π²Ρ…ΠΎΠ΄Π΅ Π 1.0 ΠΏΠ°Π΄Π°Π΅Ρ‚ Π΄ΠΎ Π½ΡƒΠ»Ρ. Π’ ΡΠ»Π΅Π΄ΡΡ‚Π²ΠΈΠΈ микросхСма воспринимаСт Π²Ρ…ΠΎΠ΄Π½ΠΎΠΉ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ сигнала ΠΊΠ°ΠΊ логичСский ноль. РСзистор R1 ΠΏΡ€ΠΈ этом слуТит Ρ‚ΠΎΠΊΠΎΠΎΠ³Ρ€Π°Π½ΠΈΡ‡ΠΈΠ²Π°ΡŽΡ‰ΠΈΠΌ элСмСнтом, прСдотвращая ΠΊΠΎΡ€ΠΎΡ‚ΠΊΠΎΠ΅ Π·Π°ΠΌΡ‹ΠΊΠ°Π½ΠΈΠ΅ ΠΌΠ΅ΠΆΠ΄Ρƒ шиной питания ΠΈ ΠΎΠ±Ρ‰ΠΈΠΌ ΠΏΡ€ΠΎΠ²ΠΎΠ΄ΠΎΠΌ.

Рассмотрим ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ для обслуТивания Π²Ρ‹ΡˆΠ΅ΡƒΠΏΠΎΠΌΡΠ½ΡƒΡ‚ΠΎΠ³ΠΎ Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ° (Листинг 2.3.), которая, постоянно ΠΎΠΏΡ€Π°ΡˆΠΈΠ²Π°Π΅Ρ‚ Π΄Π°Ρ‚Ρ‡ΠΈΠΊ ΠΈ Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ ΡΠΎΡΡ‚ояния запускаСт ΠΎΠ΄Π½Ρƒ ΠΈΠ· Π΄Π²ΡƒΡ… ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€.

; ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ сигнала с Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ°

m1: mov p1.0,#1; ЗаписываСм 1 Π² ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠΉ разряд ΠΏΠΎΡ€Ρ‚Π°

mov c, p1.0; Π§ΠΈΡ‚Π°Π΅ΠΌ состояниС Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ° Π² Π±ΠΈΡ‚ΠΎΠ²Ρ‹ΠΉ аккумулятор

jc m2; Если ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹ Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ° Ρ€Π°Π·ΠΎΠΌΠΊΠ½ΡƒΡ‚Ρ‹, ΠΏΠ΅Ρ€Π΅ΠΉΡ‚ΠΈ ΠΊ m2

call proc1; Π’Ρ‹Π·ΠΎΠ² ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ наТатия ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π°

jmp m1; Π’ΠΎΠ·Π²Ρ€Π°Ρ‚ ΠΊ Π½Π°Ρ‡Π°Π»Ρƒ (ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠΉ Ρ†ΠΈΠΊΠ» считывания)

m2: call proc1; Π’Ρ‹Π·ΠΎΠ² ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ размыкания ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π°

jmp m1; Π’ΠΎΠ·Π²Ρ€Π°Ρ‚ ΠΊ Π½Π°Ρ‡Π°Π»Ρƒ (ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠΉ Ρ†ΠΈΠΊΠ» считывания)

Листинг 2.3.

Π—Π΄Π΅ΡΡŒ явно Π²ΠΈΠ΄Π½ΠΎ, Ρ‡Ρ‚ΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° записываСт Π² Π»ΠΈΠ½ΠΈΡŽ Π 1.0 сигнал логичСской Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹ (строка 1). Π­Ρ‚ΠΎ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ для Ρ‚ΠΎΠ³ΠΎ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ данная линия ΠΌΠΎΠ³Π»Π° Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ Π½Π° Π²Π²ΠΎΠ΄ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ.

Π‘Π»Π΅Π΄ΡƒΡŽΡ‰Π°Ρ ΠΊΠΎΠΌΠ°Π½Π΄Π° считываСт Π±ΠΈΡ‚ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ, ΠΏΠΎΡΡ‚ΡƒΠΏΠ°ΡŽΡ‰Π΅ΠΉ ΠΎΡ‚ Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ°, ΠΈ ΠΏΠΎΠΌΠ΅Ρ‰Π°Π΅Ρ‚ Π΅Π΅ Π² Ρ€Π΅Π³ΠΈΡΡ‚Ρ€ ΠΏΡ€ΠΈΠ·Π½Π°ΠΊΠ° пСрСноса (строка 2). Π’ ΠΌΠΈΠΊΡ€ΠΎΠΏΡ€ΠΎΡ†Π΅ΡΡΠΎΡ€Π½ΠΎΠΉ Ρ‚Π΅Ρ…Π½ΠΈΠΊΠ΅ принято ячСйку ΠΏΡ€ΠΈΠ·Π½Π°ΠΊΠ° пСрСноса ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π°Ρ‚ΡŒ ΠΊΠ°ΠΊ CY. Π―Ρ‡Π΅ΠΉΠΊΠ° CY ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ ΠΊΠ°ΠΊ аккумулятор для Π±ΠΈΡ‚ΠΎΠ²Ρ‹Ρ… ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΉ. Если Π² ΠΌΠΎΠΌΠ΅Π½Ρ‚ считывания сигнала ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹ Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ° Π±Ρ‹Π»ΠΈ Ρ€Π°Π·ΠΎΠΌΠΊΠ½ΡƒΡ‚Ρ‹, Ρ‚ΠΎ Π² ΡΡ‡Π΅ΠΉΠΊΠ΅ CY окаТСтся логичСская Π΅Π΄ΠΈΠ½ΠΈΡ†Π°. Если ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹ Π·Π°ΠΌΠΊΠ½ΡƒΡ‚Ρ‹, Ρ‚ΠΎ Ρ‚Π°ΠΌ Π±ΡƒΠ΄Π΅Ρ‚ логичСский ноль.

ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ условного ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π° jc осущСствляСт ΠΎΡ†Π΅Π½ΠΊΡƒ содСрТимого CY (строка 3). Если Π² CY логичСский ноль, Ρ‚ΠΎ ΡƒΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ пСрСдаСтся Π½Π° ΠΌΠ΅Ρ‚ΠΊΡƒ m2, ΠΈ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΠ΅Ρ‚ся ΠΊΠΎΠΌΠ°Π½Π΄Π° call ргос2 (строка 6). Π’ ΠΏΡ€ΠΎΡ‚ΠΈΠ²Π½ΠΎΠΌ случаС ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡Π° управлСния Π½Π΅ ΠΏΡ€ΠΎΠΈΡΡ…ΠΎΠ΄ΠΈΡ‚ ΠΈ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΠ΅Ρ‚ся ΠΊΠΎΠΌΠ°Π½Π΄Π° call proc1 (строка 4). ΠžΠΏΠ΅Ρ€Π°Ρ‚ΠΎΡ€ call — это Π²Ρ‹Π·ΠΎΠ² ΠΏΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹. ΠŸΠΎΡΡ‚ΠΎΠΌΡƒ, Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ ΡΠΎΡΡ‚ояния Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠ° вызываСтся ΠΎΠ΄Π½Π° ΠΈΠ· Π΄Π²ΡƒΡ… ΠΏΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ: proc1 ΠΈΠ»ΠΈ ргос2.

Π Π°Π·Π΄Π΅Π» 3. ΠŸΡ€Π°ΠΊΡ‚ΠΈΡ‡Π΅ΡΠΊΠ°Ρ рСализация ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π½Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π΅

Π—Π°Π΄Π°Ρ‡Π°. ΠŸΠΎΡΡ‚Ρ€ΠΎΠΈΡ‚ΡŒ схСму Π½Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π΅, Π² ΠΌΠΎΠ΅ΠΌ случаС это ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ АВ89Π‘2051 Ρ„ΠΈΡ€ΠΌΡ‹ Atmel, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ ΠΏΠΎΠ»ΠΎΠΆΠ΅Π½ΠΈΡ 4 ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π°Ρ‚Π΅Π»Π΅ΠΉ Π·Π°ΠΆΠΈΠ³Π°Π» Π±Ρ‹ 6 свСтодиодов (Π»Π°ΠΌΠΏΠΎΡ‡Π΅ΠΊ).

РСшСниС: для Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ построил ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΡƒΡŽ схСму свСтодиодного ΠΈΠ½Π΄ΠΈΠΊΠ°Ρ‚ΠΎΡ€Π° (Рис.3.1.), ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ Π½Π΅ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π² ΠΌΠΎΠ΅ΠΌ ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π΅, Π° ΠΈ Π² Π»ΡŽΠ±ΠΎΠΌ устройствС Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ свСтового ΠΈΠ½Π΄ΠΈΠΊΠ°Ρ‚ΠΎΡ€Π°.

Рис.3.1 ΠŸΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠ°Ρ схСма свСтодиодного ΠΈΠ½Π΄ΠΈΠΊΠ°Ρ‚ΠΎΡ€Π°

Использовав схСмы Π²Ρ‹ΡˆΠ΅ΡƒΠΏΠΎΠΌΡΠ½ΡƒΡ‚Ρ‹Ρ… схСм (Рис.2.1.,2.2.,3.1.), построил схСму согласно поставлСнной Π·Π°Π΄Π°Ρ‡ΠΈ. Код ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ написан Π½Π° ΡΠ·Ρ‹ΠΊΠ΅ ассСмблСра для ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° АВ89Π‘2051 (Cross-Assembler 8051, Version 1.2h).

Как Π²ΠΈΠ΄Π½ΠΎ ΠΈΠ· ΡΡ…Π΅ΠΌΡ‹ ΠΏΠΎΡ€Ρ‚ Π 1 Ρ€Π°Π±ΠΎΡ‚Π°Π΅Ρ‚ ΠΊΠ°ΠΊ ΠΏΠΎΡ€Ρ‚ Π²Π²ΠΎΠ΄Π°. Π¨Π΅ΡΡ‚ΡŒ ΠΌΠ»Π°Π΄ΡˆΠΈΡ… P3 Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‚ Π½Π° Π²Ρ‹Π²ΠΎΠ΄. Π’ΠΎΠ·ΠΌΠΎΠΆΠ΅Π½ ΠΈ ΠΎΠ±Ρ€Π°Ρ‚Π½Ρ‹ΠΉ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚ (Π 1 Π½Π° Π²Ρ‹Π²ΠΎΠ΄, Π 3 Π½Π° Π²Π²ΠΎΠ΄).

Π― ΠΆΠ΅ Π²Ρ‹Π±Ρ€Π°Π» Ρ‚ΠΎΡ‚ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ Ρ€Π°Ρ†ΠΈΠΎΠ½Π°Π»Π΅Π½ с Ρ‚ΠΎΡ‡ΠΊΠΈ зрСния удобства составлСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹.

Рассмотрим ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏ Ρ€Π°Π±ΠΎΡ‚Ρ‹ построСнной схСмы.

Рис.4.5 Π‘Ρ…Π΅ΠΌΠ° свСтодиодной ΠΈΠ½Π΄ΠΈΠΊΠ°Ρ†ΠΈΠΈ с ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π°Ρ‚Π΅Π»ΡΠΌΠΈ

Π’ ΠΈΡΡ…ΠΎΠ΄Π½ΠΎΠΌ состоянии Π½Π° Π²Ρ‹Π²ΠΎΠ΄Ρ‹ Π 3.0…Π 3.5 подаСтся сигнал логичСской Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹. На Π²ΡΠ΅ Π²Ρ‹Π²ΠΎΠ΄Ρ‹ ΠΏΠΎΡ€Ρ‚Π° Π 1 (Π 1.0…Π 1.3) Ρ‚Π°ΠΊΠΆΠ΅ ΠΏΠΎΠ΄Π°Π½Ρ‹ Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹. Но Π²ΠΎ Π²Ρ‚ΠΎΡ€ΠΎΠΌ случаС Π΅Π΄ΠΈΠ½ΠΈΡ†Ρ‹ ΠΏΠΎΠ΄Π°Π½Ρ‹ для Ρ‚ΠΎΠ³ΠΎ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΡ‚ΡŒ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π»ΠΈΠ½ΠΈΠΉ Π² Ρ€Π΅ΠΆΠΈΠΌΠ΅ Π²Π²ΠΎΠ΄Π°. ΠšΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ пСриодичСски ΠΎΠΏΡ€Π°ΡˆΠΈΠ²Π°Π΅Ρ‚ состояниС клавиш ΠΏΡƒΡ‚Π΅ΠΌ измСнСния сигнала Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π°Ρ… Π 3.0…Π 3.5 ΠΈ ΡΡ‡ΠΈΡ‚ывания сигнала ΠΈΠ· ΠΏΠΎΡ€Ρ‚Π° Π 1. Π’ ΡΠ»ΡƒΡ‡Π°Π΅ обнаруТСния замыкания ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π° ΠΎΠ΄Π½ΠΎΠΉ ΠΈΠ· ΠΊΠ»Π°Π²ΠΈΡˆ, ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° выполняСт Π·Π°ΠΊΡ€Π΅ΠΏΠ»Π΅Π½Π½Ρ‹Π΅ Π·Π° ΡΡ‚ΠΎΠΉ клавишСй дСйствия.

Каким ΠΆΠ΅ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ осущСствляСтся опрос клавиш? ΠŸΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Π° опроса клавиш ΠΏΠΎΠΎΡ‡Π΅Ρ€Π΅Π΄Π½ΠΎ ΠΏΠ΅Ρ€Π΅Π²ΠΎΠ΄ΠΈΡ‚ ΠΎΠ΄Π½Ρƒ ΠΈΠ· Π»ΠΈΠ½ΠΈΠΉ Π 3.0…Π 3.5 Π² Π½ΡƒΠ»Π΅Π²ΠΎΠ΅ состояниС. Π‘Π½Π°Ρ‡Π°Π»Π° Π² Π½ΡƒΠ»Π΅Π²ΠΎΠ΅ состояниС пСрСводится линия Π 3.5 Π‘Ρ€Π°Π·Ρƒ послС этого ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚ Ρ‡Ρ‚Π΅Π½ΠΈΠ΅ числа ΠΈΠ· ΠΏΠΎΡ€Ρ‚Π° Π 1. Если Π½ΠΈ ΠΎΠ΄Π½Π° ΠΈΠ· ΠΊΠ»Π°Π²ΠΈΡˆ Π½Π΅ Π½Π°ΠΆΠ°Ρ‚Π°, Ρ‚ΠΎ Π²ΡΠ΅ разряды считанного числа Π±ΡƒΠ΄ΡƒΡ‚ Ρ€Π°Π²Π½Ρ‹ Π΅Π΄ΠΈΠ½ΠΈΡ†Π΅ (считанноС число Π±ΡƒΠ΄Π΅Ρ‚ Ρ€Π°Π²Π½ΠΎ 0FFH). Если хотя Π±Ρ‹ ΠΎΠ΄Π½Π° ΠΈΠ· ΠΊΠ»Π°Π²ΠΈΡˆ К1… К4 окаТСтся Π½Π°ΠΆΠ°Ρ‚ΠΎΠΉ, Ρ‚ΠΎ Ρ‡ΠΈΡΠ»ΠΎ, ΠΏΡ€ΠΎΡ‡ΠΈΡ‚Π°Π½Π½ΠΎΠ΅ ΠΈΠ· ΠΏΠΎΡ€Ρ‚Π° Π 1, Π±ΡƒΠ΄Π΅Ρ‚ ΠΎΡ‚Π»ΠΈΡ‡Π°Ρ‚ΡŒΡΡ ΠΎΡ‚ Π·Π½Π°Ρ‡Π΅Π½ΠΈΡ 0FFH. ΠŸΡ€Π΅Π΄ΠΏΠΎΠ»ΠΎΠΆΠΈΠΌ, Ρ‡Ρ‚ΠΎ Π½Π°ΠΆΠ°Π»ΠΈ ΠΊΠ»Π°Π²ΠΈΡˆΡƒ К1. Π’ΠΎΠ³Π΄Π° сигнал логичСского нуля с Π²Ρ‹Ρ…ΠΎΠ΄Π° Π 3.0 поступит Π½Π° Π²Ρ…ΠΎΠ΄ Π 1.0 ΠΈ ΠΌΠ»Π°Π΄ΡˆΠΈΠΉ разряд считанного числа окаТСтся Ρ€Π°Π²Π½Ρ‹ΠΌ Π½ΡƒΠ»ΡŽ. Π’ ΡΡ‚ΠΎΠΌ случаС процСссор ΠΈΠ· ΠΏΠΎΡ€Ρ‚Π° ΠΏΡ€ΠΎΡ‡ΠΈΡ‚Π°Π΅Ρ‚ 0FΠ•H. НаТатиС Π»ΡŽΠ±Ρ‹Ρ… Π΄Ρ€ΡƒΠ³ΠΈΡ… клавиш К2. К4 ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Ρ‚ ΠΊ ΠΎΠ±Π½ΡƒΠ»Π΅Π½ΠΈΡŽ Π΄Ρ€ΡƒΠ³ΠΈΡ… разрядов считываСмого числа. Π’ Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π΅ для Ρ€Π°Π·Π½Ρ‹Ρ… ΠΊΠΎΠΌΠ±ΠΈΠ½Π°Ρ†ΠΈΠΉ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΠΌ Ρ€Π°Π·Π½Ρ‹Π΅ ΠΊΠΎΠ΄Ρ‹.

4 Π²Ρ‹ΠΊΠ»ΡŽΡ‡Π°Ρ‚Π΅Π»Ρ 6 свСтодиодов

K1 K2 K3 K4 HL1 HL2 HL3 HL4 HL5 HL6

0 0 0 0 0 0 0 0 0 0

1 0 0 0 1 0 0 0 0 0

0 1 0 0 0 1 0 0 0 0

0 0 1 0 0 0 1 0 0 0

0 0 0 1 0 0 0 1 0 0

1 1 1 1 1 1 1 1 1 1

1 0 0 1 1 0 0 0 1 1

1 0 1 0 1 0 1 0 1 1

$mod 2051

;———————————ΠžΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΈΠ΅ констант

bank0 EQU 00B; ΠšΠΎΠ΄Ρ‹ Π±Π°Π½ΠΊΠΎΠ² памяти

bank1 EQU 1000B

bank2 EQU 1 0000B

bank3 EQU 1 1000B

;————————-Π Π΅Π·Π΅Ρ€Π²ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ячССк памяти

DSEG

ORG 20H ;НачинаСм Ρ€Π΅Π·Π΅Ρ€Π²ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ с Π°Π΄Ρ€Π΅ΡΠ° 20Н

p3buf: DS 1 ;Π‘ΡƒΡ„Π΅Ρ€ ΠΏΠΎΡ€Ρ‚Π° Ρ€3

;—————-Начало ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ ΠΊΠΎΠ΄Π°

CSEG

ORG 00H ;НачинаСм ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΉ ΠΊΠΎΠ΄ с Π°Π΄Ρ€Π΅ΡΠ° 00Н

;———————————————————————————————-;

; Π’ ΡΡ‚ΠΎΠΌ мСстС Π²Ρ‹ Π΄ΠΎΠ»ΠΆΠ½Ρ‹ ΠΏΠΎΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ основной тСкст вашСй ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹

;————————————————————————————————;

;###########################################

;## ΠŸΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° опроса клавиш

;###########################################

klav: push psw ;Π‘ΠΎΡ…Ρ€Π°Π½Π΅Π½ΠΈΠ΅ рСгистра Ρ„Π»Π°Π³ΠΎΠ² Π² ΡΡ‚Π΅ΠΊΠ΅

mov psw,#bank3 ;ΠŸΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ Π½Π° Π‘Π°Π½ΠΊ 3 рСгистров Π 0Н

mov p1,#0FFH ;ΠŸΠ΅Ρ€Π΅Π²ΠΎΠ΄ Π 1.0-Π 1.3 Π² Π΅Π΄ΠΈΠ½ΠΈΡ‡Π½ΠΎΠ΅ состояниС

call clrU ;ΠŸΠ΅Ρ€Π΅Π²ΠΎΠ΄ Π 3.0-Π 3.5 Π² Π΅Π΄ΠΈΠ½ΠΈΡ‡Π½ΠΎΠ΅ состояниС

kl1: call setU ;Π’Ρ‹Π²ΠΎΠ΄ ΠΊΠΎΠ΄Π° Π² ΠΏΠΎΡ€Ρ‚ Π 3

mov a, p1 ;Π‘Ρ‡ΠΈΡ‚Ρ‹Π²Π°Π½ΠΈΠ΅ состояния клавиш

cjne a,#0FFH, kl3 ;Если клавиша Π½Π°ΠΆΠ°Ρ‚Π°, ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ΠΈΠΌ ΠΊ kl3

;———————————ΠžΠΊΠΎΠ½Ρ‡Π°Π½ΠΈΠ΅ ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρ‹ опроса ΠΊΠ»Π°Π²ΠΈΠ°Ρ‚ΡƒΡ€Ρ‹

klfin call clrU ;ΠŸΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ Π² ΠΈΡΡ…ΠΎΠ΄Π½ΠΎΠ΅ состояниС ΠΏΠΎΡ€Ρ‚Π°

mov a, r0 ;Π—Π°ΠΏΠΈΡΡŒ Π² Π°ΠΊΠΊΡƒΠΌΡƒΠ»ΡΡ‚ΠΎΡ€ ΠΊΠΎΠ΄Π° клавиши

pop psw ;ВосстановлСниС рСгистра Ρ„Π»Π°Π³ΠΎΠ²

ret ;Π’Ρ‹Ρ…ΠΎΠ΄ ΠΈΠ· ΠΏΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹

;——————————Π’Ρ‹Π²ΠΎΠ΄ столбцов

setU mov a, p3buf ;Π‘Ρ‡ΠΈΡ‚Ρ‹Π²Π°Π½ΠΈΠ΅ содСрТимого Π±ΡƒΡ„Π΅Ρ€Π° ΠΏΠΎΡ€Ρ‚Π° Π 3

orl a,#0FH ;ΠŸΠ΅Ρ€Π΅Π²ΠΎΠ΄ разрядов Π 3.0-Π 3.5 Π² Π΅Π΄ΠΈΠ½ΠΈΡ†Ρƒ

anl a, r2 ;Π’Ρ‹Π²ΠΎΠ΄ Π 3.0-Π 3.5 ΠΊΠΎΠ΄Π° сканирования

mov p3buf, a ;Π—Π°ΠΏΠΈΡΡŒ Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π° Π½Π°Π·Π°Π΄ Π² Π±ΡƒΡ„Π΅Ρ€

mov p3, p3buf ;Π’Ρ‹Π²ΠΎΠ΄ содСрТимого Π±ΡƒΡ„Π΅Ρ€Π° Π² ΠΏΠΎΡ€Ρ‚ Π 3

ret

;————————————————————————————————;

;Бюда Π²Ρ‹ ΠΌΠΎΠΆΠ΅Ρ‚Π΅ ΠΏΠΎΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ Π΄Ρ€ΡƒΠ³ΠΈΠ΅ ΠΏΠΎΠ΄ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹

;————————————————————————————————;

end

Π’Ρ‹Π²ΠΎΠ΄

РассмотрСнная Π²Ρ‹ΡˆΠ΅ практичСская Π·Π°Π΄Π°Ρ‡Π° Π΄Π°Π΅Ρ‚ Ρ‡Π΅Ρ‚ΠΊΠΎΠ΅ прСдставлСниС ΠΎ Π·Π½Π°Ρ‡ΠΈΠΌΠΎΡΡ‚ΠΈ прСдставлСнного устройства. Π’Ρ€ΡƒΠ΄Π½ΠΎ ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²ΠΈΡ‚ΡŒ сфСру соврСмСнной Π΄Π΅ΡΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ Ρ‡Π΅Π»ΠΎΠ²Π΅ΠΊΠ°, Π³Π΄Π΅ Π½Π΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π»ΠΈΡΡŒ Π±Ρ‹ Π΄Π°Π½Π½Ρ‹Π΅ устройства. Π’Π΅Π»Π΅Ρ„ΠΎΠ½Ρ‹, Ρ‚Π΅Π»Π΅Π²ΠΈΠ·ΠΎΡ€Ρ‹, ТидкокристалличСскиС ΠΌΠΎΠ½ΠΈΡ‚ΠΎΡ€Ρ‹, ΠΊΠΎΠ½Π΄ΠΈΡ†ΠΈΠΎΠ½Π΅Ρ€Ρ‹, Ρ…ΠΎΠ»ΠΎΠ΄ΠΈΠ»ΡŒΠ½ΠΈΠΊΠΈ, Π½ΠΎΠ²ΠΎΠ³ΠΎΠ΄Π½ΠΈΠ΅ гирлянды, ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Ρ‹ ΠΈ ΠΌΠ½ΠΎΠ³ΠΎΠ΅ Π΄Ρ€ΡƒΠ³ΠΎΠ΅ Π½Π΅ ΠΌΠΎΠ³ΡƒΡ‚ Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ Π±Π΅Π· ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ². ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ Π½Π°ΠΌΠ½ΠΎΠ³ΠΎ Π»ΡƒΡ‡ΡˆΠ΅ своих ΠΏΡ€Π΅Π΄ΡˆΠ΅ΡΡ‚Π²Π΅Π½Π½ΠΈΠΊΠΎΠ²: Π»Π°ΠΌΠΏ ΠΈ ΠΏΠΎΠ»ΡƒΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ². Они Π½Π°ΠΌΠ½ΠΎΠ³ΠΎ ΠΌΠ΅Π½ΡŒΡˆΠΈΡ… Ρ€Π°Π·ΠΌΠ΅Ρ€ΠΎΠ² ΠΈ ΠΎΠ±Π»Π°Π΄Π°ΡŽΡ‚ большСй ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒΡŽ.

Π’ ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ выполнСния курсовой Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π±Ρ‹Π»ΠΈ рассмотрСны практичСскиС ΠΏΡ€ΠΈΠΌΠ΅Ρ€Ρ‹ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΈ ΠΈΡ… ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅.

ΠŸΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² Π² Ρ‚Π΅Ρ…Π½ΠΈΠΊΠ΅ ΠΎΡ‡Π΅Π½ΡŒ Π°ΠΊΡ‚ΡƒΠ°Π»ΡŒΠ½ΠΎ. Π’Π°ΠΊ ΠΊΠ°ΠΊ ΠΎΠ½ΠΈ сущСствСнно ΡƒΡΠΊΠΎΡ€ΡΡŽΡ‚ Ρ€Π°Π±ΠΎΡ‚Ρƒ поставлСнной ΠΈΠΌ Π·Π°Π΄Π°Ρ‡ΠΈ. ΠžΡ‚ΡΡŽΠ΄Π° ΠΈ Π²Π°ΠΆΠ½ΠΎΡΡ‚ΡŒ ΠΈΡ… ΠΈΠ·ΡƒΡ‡Π΅Π½ΠΈΡ ΠΈ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΡ Π² ΡƒΡΡ‚ройствах.

Бписок ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½Π½Ρ‹Ρ… источников

1. Π‘Π΅Π»ΠΎΠ² А. Π‘. ΠšΠΎΠ½ΡΡ‚Ρ€ΡƒΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ устройств Π½Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°Ρ… / Наука ΠΈ Π’Π΅Ρ…Π½ΠΈΠΊΠ°, 2005. — 255 с.

2. ΠŸΡ€Π΅Π΄ΠΊΠΎ М. Руководство ΠΏΠΎ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°ΠΌ. Π’ΠΎΠΌ 1. / ΠŸΠ΅Ρ€. Ρ Π°Π½Π³Π». ΠΏΠΎΠ΄ Ρ€Π΅Π΄.И. И. Π¨Π°Π³ΡƒΡ€ΠΈΠ½Π° ΠΈ Π‘. Π‘. ЛуТанского — М.: ΠŸΠΎΡΡ‚ΠΌΠ°Ρ€ΠΊΠ΅Ρ‚, 2001. — 416 с.

3. ΠŸΡ€Π΅Π΄ΠΊΠΎ М. Руководство ΠΏΠΎ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°ΠΌ. Π’ΠΎΠΌ 2. / ΠŸΠ΅Ρ€. Ρ Π°Π½Π³Π». ΠΏΠΎΠ΄ Ρ€Π΅Π΄.И. И. Π¨Π°Π³ΡƒΡ€ΠΈΠ½Π° ΠΈ Π‘. Π‘. ЛуТанского — М.: ΠŸΠΎΡΡ‚ΠΌΠ°Ρ€ΠΊΠ΅Ρ‚, 2001. — 488 с.

4. Π’ΡƒΠ΄ А. ΠœΠΈΠΊΡ€ΠΎΠΏΡ€ΠΎΡ†Π΅ΡΡΠΎΡ€Ρ‹ Π² Π²ΠΎΠΏΡ€ΠΎΡΠ°Ρ… ΠΈ ΠΎΡ‚Π²Π΅Ρ‚Π°Ρ…. / ΠŸΠ΅Ρ€. Ρ Π°Π½Π³Π». ΠΏΠΎΠ΄ Ρ€Π΅Π΄. Π”. А. ПоспСлова. — Πœ.: Π­Π½Π΅Ρ€Π³ΠΎΠ°Ρ‚ΠΎΠΌΠΈΠ·Π΄Π°Ρ‚. 1985. — 184 с.

5. Уильямс Π“. Π‘. ΠžΡ‚Π»Π°Π΄ΠΊΠ° микропроцСссорных систСм: / ΠŸΠ΅Ρ€. Ρ. Π°Π½Π³Π». — Πœ.: Π­Π½Π΅Ρ€Π³ΠΎΠ°Ρ‚ΠΎΠΌΠΈΠ·Π΄Π°Ρ‚, 1988. — 253с.

6. Π£Π³Ρ€ΡŽΠΌΠΎΠ² Π•. П. Цифровая схСмотСхника. — Π‘ΠΏΠ±.: Π‘Π’Π₯ — Π‘Π°Π½ΠΊΡ‚-ΠŸΠ΅Ρ‚Π΅Ρ€Π±ΡƒΡ€Π³, 2000. — 528 с.

7. АлСксСнко А. Π“., Π¨Π°Π³ΡƒΡ€ΠΈΠ½ И. И. ΠœΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΠΎΡ‚Π΅Ρ…Π½ΠΈΠΊΠ°. — Πœ.: Π Π°Π΄ΠΈΠΎ ΠΈ ΡΠ²ΡΠ·ΡŒ, 1990. — 496 с.

8. Π‘Ρ€ΠΎΠ΄ΠΈΠ½ Π‘. Π’., Π¨Π°Π³ΡƒΡ€ΠΈΠ½ И. И. ΠœΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹: Π‘ΠΏΡ€Π°Π²ΠΎΡ‡Π½ΠΈΠΊ. — Πœ.: ЭКОМ, 1999. — 395 с.

9. ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ логичСскиС ИМБ Π½Π° ΠšΠœΠžΠŸ-структурах ΠΈ ΠΈΡ… ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅. / П. П. ΠœΠ°Π»ΡŒΡ†Π΅Π², Н. И. Π“Π°Ρ€Π±ΡƒΠ·ΠΎΠ², А. П. Π¨Π°Ρ€Π°ΠΏΠΎΠ², А. А. ΠšΠ½Ρ‹ΡˆΠ΅Π². — Πœ.: Π­Π½Π΅Ρ€Π³ΠΎΠ°Ρ‚ΠΎΠΌΠΈΠ·Π΄Π°Ρ‚, 1998. — 158 с.

10. БоловьСв Π’. Π’., Π’Π°ΡΠΈΠ»ΡŒΠ΅Π² А. Π“. ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ логичСскиС ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Π΅ схСмы ΠΈ ΠΈΡ… ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅. — ΠœΠ½.: БСларуская Π½Π°ΡƒΠΊΠ°, 1998. — 270 с.

11. Π›Π°ΠΏΡ‚Π΅Π² Π’. Π¦ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ ΠΈΠ·ΠΌΠ΅Ρ€ΠΈΡ‚Π΅Π»ΡŒ Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Ρ‹ Π½Π° Π±Π°Π·Π΅ AVR ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° ΠΈ RC-Ρ†Π΅ΠΏΠΎΡ‡ΠΊΠΈ. — Π­Π»Π΅ΠΊΡ‚Ρ€ΠΎΠ½Π½Ρ‹Π΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹, 2001. № 2, с. 46 — 49.

ΠŸΠΎΠΊΠ°Π·Π°Ρ‚ΡŒ вСсь тСкст
Π—Π°ΠΏΠΎΠ»Π½ΠΈΡ‚ΡŒ Ρ„ΠΎΡ€ΠΌΡƒ Ρ‚Π΅ΠΊΡƒΡ‰Π΅ΠΉ Ρ€Π°Π±ΠΎΡ‚ΠΎΠΉ