ΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² написании студСнчСских Ρ€Π°Π±ΠΎΡ‚
АнтистрСссовый сСрвис

МодСли Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ ΠΏΠ°ΠΊΠ΅Ρ‚Π° MC8

ΠšΡƒΡ€ΡΠΎΠ²Π°ΡΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² Π½Π°ΠΏΠΈΡΠ°Π½ΠΈΠΈΠ£Π·Π½Π°Ρ‚ΡŒ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒΠΌΠΎΠ΅ΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹

Π’ ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ PSPICE, Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ модСль ΠžΠ£ описываСтся Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΊΠ°ΠΊ ΠΌΠ°ΠΊΡ€ΠΎΠΌΠΎΠ΄Π΅Π»ΡŒ, Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ MC8 Ρ‚Π°ΠΊΠΆΠ΅ ΠΈΠΌΠ΅ΡŽΡ‚ΡΡ встроСнныС ΠΌΠΎΠ΄Π΅Π»ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Ρ… усилитСлСй Ρ€Π°Π·Π½ΠΎΠΉ слоТности (LEVEL = 1, 2, 3), Ρ‡Ρ‚ΠΎ ΡƒΠΏΡ€ΠΎΡ‰Π°Π΅Ρ‚ Ρ€Π°Π±ΠΎΡ‚Ρƒ с Π½ΠΈΠΌΠΈ ΠΈ ΠΏΠΎΠ²Ρ‹ΡˆΠ°Π΅Ρ‚ ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒ модСлирования. ΠŸΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠΈΠ΅ Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Π΅ ΠΌΠΎΠ΄Π΅Π»ΠΈ (LEVEL=1, 2) ΠΈΠΌΠΈΡ‚ΠΈΡ€ΡƒΡŽΡ‚ ΠžΠ£ с ΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹ΠΌ Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹ΠΌ ΠΈ Π±Π΅ΡΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹ΠΌ Π²Ρ…ΠΎΠ΄Π½Ρ‹ΠΌ сопротивлСниями. Вторая модСль (LEVEL=2… Π§ΠΈΡ‚Π°Ρ‚ΡŒ Π΅Ρ‰Ρ‘ >

МодСли Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ ΠΏΠ°ΠΊΠ΅Ρ‚Π° MC8 (Ρ€Π΅Ρ„Π΅Ρ€Π°Ρ‚, курсовая, Π΄ΠΈΠΏΠ»ΠΎΠΌ, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒΠ½Π°Ρ)

Π‘ΠΎΠ΄Π΅Ρ€ΠΆΠ°Π½ΠΈΠ΅ Π’Π²Π΅Π΄Π΅Π½ΠΈΠ΅ Π“Π»Π°Π²Π° 1. ΠŸΠ°ΡΡΠΈΠ²Π½Ρ‹Π΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ Π“Π»Π°Π²Π° 2. АктивныС ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ Π“Π»Π°Π²Π° 3. Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊΠΈ сигналов

3.1 НСзависимыС источники постоянного напряТСния ΠΈ Ρ‚ΠΎΠΊΠ°

3.2 Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊΠΈ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½ΠΎΠ³ΠΎ ΠΈ ΡΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ сигналов

3.3 НСзависимыС источники напряТСния ΠΈ Ρ‚ΠΎΠΊΠ° слоТной Ρ„ΠΎΡ€ΠΌΡ‹ (Voltage Source ΠΈ Current Source)

3.4 Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ напряТСния User Source

Π“Π»Π°Π²Π° 4. ΠšΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ для ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… Ρ†Π΅Π»Π΅ΠΉ (Special Purpose)

4.1 ΠšΠΎΠΌΠΌΡƒΡ‚ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠ΅ устройства

4.2 Устройство Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ-хранСния (Sample and Hold)

4.3 Π‘Ρ‚Ρ€Π΅Π»ΠΊΠΈ (Arrow) ΠΈ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹ (Bubble)

Π—Π°ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅

Бписок Π»ΠΈΡ‚Π΅Ρ€Π°Ρ‚ΡƒΡ€Ρ‹

Π’Π²Π΅Π΄Π΅Π½ΠΈΠ΅

ВсС ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ (Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Π΅ ΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Π΅), ΠΈΠ· ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… состоит элСктричСская ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½Π°Ρ схСма, ΠΈΠΌΠ΅ΡŽΡ‚ матСматичСскиС ΠΌΠΎΠ΄Π΅Π»ΠΈ Π΄Π²ΡƒΡ… Ρ‚ΠΈΠΏΠΎΠ²:

— Π²ΡΡ‚Ρ€ΠΎΠ΅Π½Π½Ρ‹Π΅ матСматичСскиС ΠΌΠΎΠ΄Π΅Π»ΠΈ стандартных ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² (рСзисторы, кондСнсаторы, Π΄ΠΈΠΎΠ΄Ρ‹, транзисторы, источники сигналов ΠΈ Π΄Ρ€.), ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π½Π΅ ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ ΠΈΠ·ΠΌΠ΅Π½Π΅Π½Ρ‹ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡΠΌΠΈ (ΠΌΠΎΠΆΠ½ΠΎ ΠΈΠ·ΠΌΠ΅Π½ΠΈΡ‚ΡŒ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ значСния ΠΈΡ… ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ²);

— ΠΌΠ°ΠΊΡ€ΠΎΠΌΠΎΠ΄Π΅Π»ΠΈ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², составляСмыС ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡΠΌΠΈ ΠΏΠΎ ΡΠ²ΠΎΠ΅ΠΌΡƒ ΡƒΡΠΌΠΎΡ‚Ρ€Π΅Π½ΠΈΡŽ ΠΈΠ· ΡΡ‚Π°Π½Π΄Π°Ρ€Ρ‚Π½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ².

Π’ ΡΠ²ΠΎΡŽ ΠΎΡ‡Π΅Ρ€Π΅Π΄ΡŒ, встроСнныС ΠΌΠΎΠ΄Π΅Π»ΠΈ ΠΏΠΎΠ΄Ρ€Π°Π·Π΄Π΅Π»ΡΡŽΡ‚ΡΡ Π½Π° Π΄Π²Π΅ ΠΊΠ°Ρ‚Π΅Π³ΠΎΡ€ΠΈΠΈ: простыС ΠΈ ΡΠ»ΠΎΠΆΠ½Ρ‹Π΅ ΠΌΠΎΠ΄Π΅Π»ΠΈ Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ ΠΊΠΎΠ»ΠΈΡ‡Π΅ΡΡ‚Π²Π° ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ², Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€ΠΈΠ·ΡƒΡŽΡ‰ΠΈΡ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹. НапримСр, модСль рСзистора ΠΌΠΎΠΆΠ½ΠΎ ΠΎΠΏΠΈΡΠ°Ρ‚ΡŒ ΠΎΠ΄Π½ΠΈΠΌ — трСмя ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌΠΈ, Π° ΠΌΠΎΠ΄Π΅Π»ΡŒ биполярного транзистора характСризуСтся 52 ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌΠΈ. Как ΡƒΠΆΠ΅ ΠΎΡ‚ΠΌΠ΅Ρ‡Π°Π»ΠΎΡΡŒ Π²Ρ‹ΡˆΠ΅, Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ MC8 ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ двоякоС описаниС ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΡƒΠ΅ΠΌΠΎΠ³ΠΎ устройства: Π² Π²ΠΈΠ΄Π΅ Ρ‡Π΅Ρ€Ρ‚Π΅ΠΆΠ° схСмы (Ρ„ΠΎΡ€ΠΌΠ°Ρ‚ схСм) ΠΈΠ»ΠΈ Π² Π²ΠΈΠ΄Π΅ тСкстового описания ΠΌΠΎΠ΄Π΅Π»ΠΈ Π² Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ SPICE. Π’ Π½Π°ΡΡ‚оящСй курсовой Ρ€Π°Π±ΠΎΡ‚Π΅ приводятся ΠΊΡ€Π°Ρ‚ΠΊΠΈΠ΅ свСдСния ΠΎ ΠΌΠΎΠ΄Π΅Π»ΡΡ… Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² Π² Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ схСм.

Π“Π»Π°Π²Π° 1. ΠŸΠ°ΡΡΠΈΠ²Π½Ρ‹Π΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹

К ΠΏΠ°ΡΡΠΈΠ²Π½Ρ‹ΠΌ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°ΠΌ относятся: рСзисторы, кондСнсаторы, индуктивности, Π»ΠΈΠ½ΠΈΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ, высокочастотныС трансформаторы, Π²Π·Π°ΠΈΠΌΠ½Ρ‹Π΅ индуктивности, Π΄ΠΈΠΎΠ΄Ρ‹ ΠΈ ΡΡ‚Π°Π±ΠΈΠ»ΠΈΡ‚Ρ€ΠΎΠ½Ρ‹. Π’ Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ схСм MC8 основныС ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ рСзистора (Resistor), кондСнсатора (Capacitor) ΠΈ ΠΈΠ½Π΄ΡƒΠΊΡ‚ивности (Inductor), ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΠ΅Π½Π½Ρ‹Ρ… Π½Π° Ρ€ΠΈΡ. 1, Π·Π°Π΄Π°ΡŽΡ‚ΡΡ Π² Π²ΠΈΠ΄Π΅ ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²ΠΎΠ³ΠΎ Π½Π°Π±ΠΎΡ€Π° Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ΠΎΠ² (рис. 2.6):

Атрибут PART: <�имя> - (Π½Π° Ρ€ΠΈΡ. 1 R1, C1, L1);

Атрибут VALUE: <οΏ½Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅> - (2.4k, 51p, 56u);

Атрибут MODEL: <�имя ΠΌΠΎΠ΄Π΅Π»ΠΈ> - (для ΠΊΠ°Ρ‚Π°Π»ΠΎΠ³Π° Analog Library);

Атрибут FREQ: <οΏ½Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅> - Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ Π·Π°Π΄Π°Π½ΠΎ Π² Π²ΠΈΠ΄Π΅ выраТСния, зависящСго ΠΎΡ‚ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, ΡƒΠ·Π»ΠΎΠ²Ρ‹Ρ… ΠΏΠΎΡ‚Π΅Π½Ρ†ΠΈΠ°Π»ΠΎΠ², разности ΡƒΠ·Π»ΠΎΠ²Ρ‹Ρ… ΠΏΠΎΡ‚Π΅Π½Ρ†ΠΈΠ°Π»ΠΎΠ² ΠΈΠ»ΠΈ Ρ‚ΠΎΠΊΠΎΠ² Π²Π΅Ρ‚Π²Π΅ΠΉ, Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€Ρ‹ ΠΈ Π΄Ρ€ΡƒΠ³ΠΈΡ… ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ². ΠŸΡ€ΠΈ расчСтС ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… процСссов Π²Π΅Π»ΠΈΡ‡ΠΈΠ½Π° ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° Ρ€Π°Π²Π½Π° Π·Π½Π°Ρ‡Π΅Π½ΠΈΡŽ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° VALUE.

Для рСзисторов Ρ‚Π°ΠΊΠΆΠ΅ прСдусмотрСны Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Ρ‹ SLIDER_MIN ΠΈ SLIDER_MAX — минимальноС ΠΈ ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½ΠΎΠ΅ ΠΎΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ значСния сопротивлСния, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π² Ρ€Π΅ΠΆΠΈΠΌΠ΅ Π°Π½Π°Π»ΠΈΠ·Π° Dynamic DC (расчСт схСмы ΠΏΠΎ ΠΏΠΎΡΡ‚оянному Ρ‚ΠΎΠΊΡƒ) ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ Π΄Π²ΠΈΠΆΠΊΠΎΠ²ΠΎΠ³ΠΎ рСгулятора ΠΌΠ΅Π½ΡΡ‚ΡŒ сопротивлСниС рСзистора Π² Π·Π°Π΄Π°Π½Π½Ρ‹Ρ… ΠΏΡ€Π΅Π΄Π΅Π»Π°Ρ…. Π”Π°Π½Π½Ρ‹Π΅ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Ρ‹ ΠΏΡ€ΠΈ ΠΈΡ… ΠΎΡ‚сутствии ΠΌΠΎΠΆΠ½ΠΎ ввСсти Π² Π΄ΠΈΠ°Π»ΠΎΠ³ΠΎΠ²ΠΎΠ΅ ΠΎΠΊΠ½ΠΎ задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² рСзистора с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ ΠΊΠ½ΠΎΠΏΠΊΠΈ Add ΠΈ ΠΏΠΎΡΠ»Π΅Π΄ΡƒΡŽΡ‰Π΅ΠΉ Ρ€Π΅Π΄Π°ΠΊΡ†ΠΈΠΈ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° Π² ΠΎΠΊΠ½Π΅ Name (рис. 2.6).

МодСль Π»ΠΈΠ½ΠΈΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ (Transmission Line — TLine) ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ Π·Π°Π΄Π°Π½Π° ΠΊΠ°ΠΊ с ΠΏΠΎΡ‚Срями (Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ MODEL=LOSSY), Ρ‚Π°ΠΊ ΠΈ Π±Π΅Π· ΠΏΠΎΡ‚Π΅Ρ€ΡŒ (Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ MODEL=IDEAL). На Ρ€ΠΈΡ. 2, Π° ΠΏΠΎΠΊΠ°Π·Π°Π½Π° элСктричСская схСма с Π»ΠΈΠ½ΠΈΠ΅ΠΉ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ Π±Π΅Π· ΠΏΠΎΡ‚Π΅Ρ€ΡŒ (T1), Π° Π½Π° Ρ€ΠΈΡ. 2, Π± — схСма замСщСния Π»ΠΈΠ½ΠΈΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ с ΠΏΠΎΡ‚Срями. ПослС Π²Ρ‹Π±ΠΎΡ€Π° ΠΌΠΎΠ΄Π΅Π»ΠΈ Π»ΠΈΠ½ΠΈΠΈ (Ideal ΠΈΠ»ΠΈ Lossy) открываСтся ΠΎΠΊΠ½ΠΎ задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ², значСния ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Ρ‹ Π² Ρ‚Π°Π±Π». 1. Π’ Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ схСм MC8 ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ Π»ΠΈΠ½ΠΈΠΈ ΠΌΠΎΠΆΠ½ΠΎ Π·Π°Π΄Π°Ρ‚ΡŒ ΠΈ Ρ ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° VALUE, присвоив Π² ΠΎΠΊΠ½Π΅ задания Π²Π΅Π»ΠΈΡ‡ΠΈΠ½Ρ‹ VALUE пСрСчислСнным Π² Ρ‚Π°Π±Π». 1 ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌ ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠ΅ значСния. НапримСр, Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ VALUE: ZO=50 TD=100n F=1Π•6 NL=0.25 — ΠΎΠ·Π½Π°Ρ‡Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ Π·Π°Π΄Π°Π½Π° линия ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ Π±Π΅Π· ΠΏΠΎΡ‚Π΅Ρ€ΡŒ с Π²ΠΎΠ»Π½ΠΎΠ²Ρ‹ΠΌ сопротивлСниСм 50 Ом ΠΈ Π²Ρ€Π΅ΠΌΠ΅Π½Π΅ΠΌ Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΈ 100 Π½Ρ. ПослСдниС Π΄Π²Π° ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π° для идСальной Π»ΠΈΠ½ΠΈΠΈ Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΈ ΠΌΠΎΠΆΠ½ΠΎ Π½Π΅ ΡƒΠΊΠ°Π·Ρ‹Π²Π°Ρ‚ΡŒ.

Π’ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ MC8 имССтся модСль идСального Π΄Π²ΡƒΡ…ΠΎΠ±ΠΌΠΎΡ‚ΠΎΡ‡Π½ΠΎΠ³ΠΎ трансформатора Π±Π΅Π· ΠΏΠΎΡ‚Π΅Ρ€ΡŒ (Transformer). Π’ Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ схСм MC модСль трансформатора ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ Π·Π°Π΄Π°Π½Π° с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° ΠΈΠΌΠ΅Π½ΠΈ (PART) — Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, K1 ΠΈ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° VALUE: <οΏ½ΠΈΠ½Π΄ΡƒΠΊΡ‚ΠΈΠ²Π½ΠΎΡΡ‚ΡŒ ΠΏΠ΅Ρ€Π²ΠΈΡ‡Π½ΠΎΠΉ ΠΎΠ±ΠΌΠΎΡ‚ΠΊΠΈ>, <οΏ½ΠΈΠ½Π΄ΡƒΠΊΡ‚ΠΈΠ²Π½ΠΎΡΡ‚ΡŒ Π²Ρ‚ΠΎΡ€ΠΈΡ‡Π½ΠΎΠΉ ΠΎΠ±ΠΌΠΎΡ‚ΠΊΠΈ>, <�коэффициСнта связи>. ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ трансформатора ΠΏΠ΅Ρ€Π΅Ρ‡ΠΈΡΠ»ΡΡŽΡ‚ΡΡ Π² ΠΎΠΊΠ½Π΅ VALUE Π² ΡƒΠΊΠ°Π·Π°Π½Π½ΠΎΠΌ порядкС Ρ‡Π΅Ρ€Π΅Π· Π·Π°ΠΏΡΡ‚ΡƒΡŽ: 0.01uH, 0.1uH, 0.98.

Π’Π°Π±Π»ΠΈΡ†Π° 1

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€Π½ΠΎΡΡ‚ΡŒ

Π—Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ ΠΏΠΎ ΡƒΠΌΠΎΠ»Ρ‡Π°Π½ΠΈΡŽ

ИдСальная линия Π±Π΅Π· ΠΏΠΎΡ‚Π΅Ρ€ΡŒ

ZO

Π’ΠΎΠ»Π½ΠΎΠ²ΠΎΠ΅ сопротивлСниС

Ом

;

TD

ВрСмя Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΈ сигнала

с

;

F

Частота для расчСта NL

Π“Ρ†

;

NL

ЭлСктричСская Π΄Π»ΠΈΠ½Π° Π½Π° Ρ‡Π°ΡΡ‚ΠΎΡ‚Π΅ F (ΠΎΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ Π΄Π»ΠΈΠ½Ρ‹ Π²ΠΎΠ»Π½Ρ‹)

;

0,25

Линия с ΠΏΠΎΡ‚Срями

R

ПогонноС сопротивлСниС

Ом/м

;

L

Погонная ΠΈΠ½Π΄ΡƒΠΊΡ‚ΠΈΠ²Π½ΠΎΡΡ‚ΡŒ

Π“Π½/ΠΌ

;

G

Погонная ΠΏΡ€ΠΎΠ²ΠΎΠ΄ΠΈΠΌΠΎΡΡ‚ΡŒ

Π‘ΠΌ/ΠΌ

;

C

Погонная Π΅ΠΌΠΊΠΎΡΡ‚ΡŒ

Π€/ΠΌ

;

LEN

Π”Π»ΠΈΠ½Π° Π»ΠΈΠ½ΠΈΠΈ

ΠΌ

;

ΠœΠ°Ρ‚Π΅ΠΌΠ°Ρ‚ΠΈΡ‡Π΅ΡΠΊΠΈΠ΅ ΠΌΠΎΠ΄Π΅Π»ΠΈ Π΄ΠΈΠΎΠ΄Π° (Diode) ΠΈ ΡΡ‚Π°Π±ΠΈΠ»ΠΈΡ‚Ρ€ΠΎΠ½Π° (Zener) ΠΌΠ°Π»ΠΎ Ρ‡Π΅ΠΌ ΠΎΡ‚Π»ΠΈΡ‡Π°ΡŽΡ‚ΡΡ Π΄Ρ€ΡƒΠ³ ΠΎΡ‚ Π΄Ρ€ΡƒΠ³Π° (Ρ€Π°Π·Π²Π΅ Ρ‡Ρ‚ΠΎ значСниями ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² модСлирования) ΠΈ ΠΎΡ‚носятся ΠΊ ΠΊΠ°Ρ‚Π΅Π³ΠΎΡ€ΠΈΠΈ слоТных ΠΌΠΎΠ΄Π΅Π»Π΅ΠΉ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€ΠΈΠ·ΡƒΡŽΡ‚ΡΡ 30 ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌΠΈ (рис. 3). ΠŸΠΎΠ»Π½Ρ‹ΠΉ ΠΏΠ΅Ρ€Π΅Ρ‡Π΅Π½ΡŒ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΌΠΎΠ΄Π΅Π»ΠΈ Π΄ΠΈΠΎΠ΄Π° ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½ Π². ΠŸΡ€ΠΈ схСмотСхничСском ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŽ ΠΏΡ€ΠΎΡ‰Π΅ (ΠΈ Π»ΠΎΠ³ΠΈΡ‡Π½Π΅Π΅) Π²ΠΎΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒΡΡ встроСнными модСлями Π΄Π°Π½Π½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², ΠΎΠ±Ρ€Π°Ρ‚ΠΈΠ²ΡˆΠΈΡΡŒ Π² ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠ΅ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ MC8 ΠΈ ΠΏΡ€ΠΈΡΠ²ΠΎΠΈΠ² Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Ρƒ MODEL имя Π²Ρ‹Π±Ρ€Π°Π½Π½ΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°. НапримСр: MODEL=D104A — ΠΎΠ·Π½Π°Ρ‡Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ Π² ΡΡ…Π΅ΠΌΡƒ Π±ΡƒΠ΄Π΅Ρ‚ вставлСн ΠΊΡ€Π΅ΠΌΠ½Π΅Π²Ρ‹ΠΉ Π΄ΠΈΠΎΠ΄ Π”104А ΡˆΠΈΡ€ΠΎΠΊΠΎΠ³ΠΎ примСнСния.

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° Micro-Cap 8 прСдоставляСт ΡˆΠΈΡ€ΠΎΠΊΠΈΠΉ Π²Ρ‹Π±ΠΎΡ€ Π΄ΠΈΠΎΠ΄ΠΎΠ² Ρ€Π°Π·Π»ΠΈΡ‡Π½ΠΎΠ³ΠΎ назначСния, ΠΎΠ΄Π½Π°ΠΊΠΎ для Π»ΡƒΡ‡ΡˆΠ΅Π³ΠΎ понимания Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ΠΎΠ² модСлирования слСдуСт ΠΈΠΌΠ΅Ρ‚ΡŒ Π² Π²ΠΈΠ΄Ρƒ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ принятыС Π² MC8 обозначСния ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² Π΄ΠΈΠΎΠ΄ΠΎΠ²:

RS — объСмноС сопротивлСниС Π΄ΠΈΠΎΠ΄Π°;

NR — ΠΏΡ€Π΅Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΉ Ρ‚ΠΎΠΊ ΠΏΡ€ΠΈ высоком ΡƒΡ€ΠΎΠ²Π½Π΅ ΠΈΠ½ΠΆΠ΅ΠΊΡ†ΠΈΠΈ;

CJO — Π±Π°Ρ€ΡŒΠ΅Ρ€Π½Π°Ρ Π΅ΠΌΠΊΠΎΡΡ‚ΡŒ;

BV — ΠΎΠ±Ρ€Π°Ρ‚Π½ΠΎΠ΅ напряТСниС пробоя.

Π’Π°ΠΊ, ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ RS опрСдСляСт ΠΊΡ€ΡƒΡ‚ΠΈΠ·Π½Ρƒ Π²ΠΎΠ»ΡŒΡ‚-Π°ΠΌΠΏΠ΅Ρ€Π½ΠΎΠΉ характСристики (ВАΠ₯) Π΄ΠΈΠΎΠ΄Π°, Π° ΠΏΡ€ΠΈ Π²Ρ‹Π±ΠΎΡ€Π΅ стабилитрона Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΎΠ±Ρ€Π°Ρ‰Π°Ρ‚ΡŒ Π²Π½ΠΈΠΌΠ°Π½ΠΈΠ΅ Π½Π° ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ ΠΌΠΎΠ΄Π΅Π»ΠΈ BV — напряТСниС ΠΎΠ±Ρ€Π°Ρ‚Π½ΠΎΠ³ΠΎ пробоя, ΠΏΠΎΡΠΊΠΎΠ»ΡŒΠΊΡƒ фактичСски ΠΎΠ½ΠΎ ΠΈ ΡΠ²Π»ΡΠ΅Ρ‚ся напряТСниСм стабилизации ΠΏΡ€ΠΈ ΠΎΠ±Ρ€Π°Ρ‚Π½ΠΎΠΌ Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠΈ Π΄ΠΈΠΎΠ΄Π°.

Π“Π»Π°Π²Π° 2. АктивныС ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° MC8 содСрТит большоС количСство ΠΌΠΎΠ΄Π΅Π»Π΅ΠΉ транзисторов ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Ρ… усилитСлСй, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ (ΠΊΠ°ΠΊ ΠΈ Π΄ΠΈΠΎΠ΄Ρ‹) ΡƒΠ΄ΠΎΠ±Π½ΠΎ Π·Π°Π΄Π°Π²Π°Ρ‚ΡŒ с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° MODEL. ΠŸΡ€ΠΈ Π²Ρ‹Π±ΠΎΡ€Π΅ Ρ‚ΠΎΠ³ΠΎ ΠΈΠ»ΠΈ ΠΈΠ½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ° транзистора Π½Π° ΡΡ…Π΅ΠΌΠ΅ вновь Π²Π²ΠΎΠ΄ΠΈΠΌΠΎΠΌΡƒ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρƒ автоматичСски присваиваСтся имя (Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ PART), ΠΏΠ΅Ρ€Π²Ρ‹ΠΉ символ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ (прСфикс ΠΈΠΌΠ΅Π½ΠΈ) ΡƒΠΊΠ°Π·Π°Π½ Π² Ρ‚Π°Π±Π». 2. На Ρ€ΠΈΡ. 4 ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Ρ‹ принятыС Π² Micro-Cap графичСскиС изобраТСния Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Ρ‚ΠΈΠΏΠΎΠ² транзисторов с ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΠΌΠΈ ΠΏΠΎΠ·ΠΈΡ†ΠΈΠΎΠ½Π½Ρ‹ΠΌΠΈ обозначСниями:

— Q1, Q2 — биполярныС транзисторы (Bipolar transistor — BJT);

— J1, J2 — ΠΏΠΎΠ»Π΅Π²Ρ‹Π΅ транзисторы (JFET);

M1, M2 — МОП-транзисторы (MOSFET).

ПослС ввСдСния ΠΈΠΌΠ΅Π½ΠΈ ΠΌΠΎΠ΄Π΅Π»ΠΈ транзистора открываСтся панСль рСдактирования ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΌΠΎΠ΄Π΅Π»ΠΈ. НиТС приводятся основныС ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹, ΠΏΠΎΠ»Π½Ρ‹ΠΉ список ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΌΠΎΠΆΠ½ΠΎ Π½Π°ΠΉΡ‚ΠΈ Π².

Биполярный транзистор (BJT):

IS — Ρ‚ΠΎΠΊ насыщСния;

BF — ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ коэффициСнт усилСния Ρ‚ΠΎΠΊΠ° Π² Π½ΠΎΡ€ΠΌΠ°Π»ΡŒΠ½ΠΎΠΌ Ρ€Π΅ΠΆΠΈΠΌΠ΅;

BR — ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ коэффициСнт усилСния Ρ‚ΠΎΠΊΠ° Π² ΠΈΠ½Π²Π΅Ρ€ΡΠ½ΠΎΠΌ Ρ€Π΅ΠΆΠΈΠΌΠ΅;

RC, RE, RB — объСмноС сопротивлСниС ΠΊΠΎΠ»Π»Π΅ΠΊΡ‚ΠΎΡ€Π°, эмиттСра, Π±Π°Π·Ρ‹;

TF — врСмя пСрСноса заряда Ρ‡Π΅Ρ€Π΅Π· Π±Π°Π·Ρƒ Π² Π½ΠΎΡ€ΠΌΠ°Π»ΡŒΠ½ΠΎΠΌ Ρ€Π΅ΠΆΠΈΠΌΠ΅ (опрСдСляСт Π³Ρ€Π°Π½ΠΈΡ‡Π½ΡƒΡŽ частоту транзистора:

);

CJE, CJC — Π΅ΠΌΠΊΠΎΡΡ‚ΡŒ эмиттСрного (ΠΊΠΎΠ»Π»Π΅ΠΊΡ‚ΠΎΡ€Π½ΠΎΠ³ΠΎ) ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π°.

ПолСвой транзистор (JFET):

VTO — ΠΏΠΎΡ€ΠΎΠ³ΠΎΠ²ΠΎΠ΅ напряТСниС;

BETA — коэффициСнт ΠΏΡ€ΠΎΠΏΠΎΡ€Ρ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ (ΡƒΠ΄Π΅Π»ΡŒΠ½Π°Ρ пСрСдаточная ΠΏΡ€ΠΎΠ²ΠΎΠ΄ΠΈΠΌΠΎΡΡ‚ΡŒ);

RD, RS — объСмноС сопротивлСниС области стока (истока);

CGD, CGS — Π΅ΠΌΠΊΠΎΡΡ‚ΡŒ ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π° Π·Π°Ρ‚Π²ΠΎΡ€-сток (Π·Π°Ρ‚Π²ΠΎΡ€-исток) ΠΏΡ€ΠΈ Π½ΡƒΠ»Π΅Π²ΠΎΠΌ смСщСнии.

МОП-транзистор (MOSFET):

LEVEL — ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ слоТности (1, 2 ΠΈΠ»ΠΈ 3) ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΠΎΠΉ ΠΌΠΎΠ΄Π΅Π»ΠΈ. МодСль ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ уровня (LEVEL=1) Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ простая, Π½ΠΎ ΠΈ Π½Π°ΠΈΠΌΠ΅Π½Π΅Π΅ точная ΠΏΡ€ΠΈ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ Π²ΠΎΠ»ΡŒΡ‚-Π°ΠΌΠΏΠ΅Ρ€Π½Ρ‹Ρ… характСристик транзистора;

VTO — ΠΏΠΎΡ€ΠΎΠ³ΠΎΠ²ΠΎΠ΅ напряТСниС ΠΏΡ€ΠΈ Π½ΡƒΠ»Π΅Π²ΠΎΠΌ смСщСнии;

KP — ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ ΡƒΠ΄Π΅Π»ΡŒΠ½ΠΎΠΉ ΠΊΡ€ΡƒΡ‚ΠΈΠ·Π½Ρ‹;

RD, RS, RG — объСмноС сопротивлСниС стока (истока ΠΈΠ»ΠΈ Π·Π°Ρ‚Π²ΠΎΡ€Π°).

ΠžΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ усилитСли (OPAMP) относятся ΠΊ ΠΊΠ»Π°ΡΡΡƒ слоТных элСктронных устройств, состоящих ΠΈΠ· Π±ΠΎΠ»ΡŒΡˆΠΎΠ³ΠΎ количСства Π°ΠΊΡ‚ΠΈΠ²Π½Ρ‹Ρ… ΠΈ ΠΏΠ°ΡΡΠΈΠ²Π½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ². ΠŸΠΎΡΡ‚ΠΎΠΌΡƒ прСфикс ΠΈΠΌΠ΅Π½ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ³ΠΎ усилитСля — X, Ρ‡Ρ‚ΠΎ ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π°Π΅Ρ‚ ΠΌΠ°ΠΊΡ€ΠΎΠΌΠΎΠ΄Π΅Π»ΡŒ, Ρ‚. Π΅. модСль устройства, состоящСго ΠΈΠ· Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ². ГрафичСскоС ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ³ΠΎ усилитСля (ОУ), ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΠΎΠ΅ ΠΏΡ€ΠΈ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ Π² ΠœΠ‘8, с ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΡΠΌΠΈ Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² ΠžΠ£ ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΎ Π½Π° Ρ€ΠΈΡ. 5, Π³Π΄Π΅ Plus (Minus) input — Π½Π΅ΠΈΠ½Π²Π΅Ρ€Ρ‚ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠΉ (ΠΈΠ½Π²Π΅Ρ€Ρ‚ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠΉ) Π²Ρ…ΠΎΠ΄, output — Π²Ρ‹Ρ…ΠΎΠ΄, VCC ΠΈ VEE — напряТСния источников питания.

Π’ ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ PSPICE, Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ модСль ΠžΠ£ описываСтся Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΊΠ°ΠΊ ΠΌΠ°ΠΊΡ€ΠΎΠΌΠΎΠ΄Π΅Π»ΡŒ, Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ MC8 Ρ‚Π°ΠΊΠΆΠ΅ ΠΈΠΌΠ΅ΡŽΡ‚ΡΡ встроСнныС ΠΌΠΎΠ΄Π΅Π»ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Ρ… усилитСлСй Ρ€Π°Π·Π½ΠΎΠΉ слоТности (LEVEL = 1, 2, 3), Ρ‡Ρ‚ΠΎ ΡƒΠΏΡ€ΠΎΡ‰Π°Π΅Ρ‚ Ρ€Π°Π±ΠΎΡ‚Ρƒ с Π½ΠΈΠΌΠΈ ΠΈ ΠΏΠΎΠ²Ρ‹ΡˆΠ°Π΅Ρ‚ ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒ модСлирования. ΠŸΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠΈΠ΅ Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Π΅ ΠΌΠΎΠ΄Π΅Π»ΠΈ (LEVEL=1, 2) ΠΈΠΌΠΈΡ‚ΠΈΡ€ΡƒΡŽΡ‚ ΠžΠ£ с ΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹ΠΌ Π²Ρ‹Ρ…ΠΎΠ΄Π½Ρ‹ΠΌ ΠΈ Π±Π΅ΡΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹ΠΌ Π²Ρ…ΠΎΠ΄Π½Ρ‹ΠΌ сопротивлСниями. Вторая модСль (LEVEL=2) уточняСт частотныС свойства ΠžΠ£ (ΠΈΠΌΠΈΡ‚ΠΈΡ€ΡƒΡŽΡ‚ΡΡ Π΄Π²Π° полюса ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‚ΠΎΡ‡Π½ΠΎΠΉ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ, вводятся ограничСния скорости нарастания Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ напряТСния). НСлинСйная модСль (LEVEL=3) Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ ΠΏΠΎΠ»Π½ΠΎ описываСт частотныС свойства ОУ, Π° Ρ‚Π°ΠΊΠΆΠ΅ опрСдСляСт Ρ€Π΅Π°Π»ΡŒΠ½Ρ‹Π΅ значСния Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ напряТСния ΠΈ Π΄Ρ€ΡƒΠ³ΠΈΠ΅ присущиС ΠžΠ£ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹.

ПослС Π²Ρ‹Π±ΠΎΡ€Π° ΠΌΠΎΠ΄Π΅Π»ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ³ΠΎ усилитСля (Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ MODEL) открываСтся ΠΎΠΊΠ½ΠΎ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ОУ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠΆΠ½ΠΎ Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ. Π’ Ρ‚Π°Π±Π». 2 прСдставлСны основныС ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΠžΠ£. Π‘Π»Π΅Π΄ΡƒΠ΅Ρ‚ ΠΎΡ‚ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ, Ρ‡Ρ‚ΠΎ Π½Π΅ Π²ΡΠ΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΡƒΡ‡ΠΈΡ‚Ρ‹Π²Π°ΡŽΡ‚ΡΡ Π² ΠΌΠΎΠ΄Π΅Π»ΡΡ… 1 ΠΈ 2 ΡƒΡ€ΠΎΠ²Π½Π΅ΠΉ.

Π’Π°Π±Π»ΠΈΡ†Π° 2

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

Π£Ρ€ΠΎΠ²Π΅Π½ΡŒ ΠΌΠΎΠ΄Π΅Π»ΠΈ

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

LEVEL

1 — 3

Π£Ρ€ΠΎΠ²Π΅Π½ΡŒ ΠΌΠΎΠ΄Π΅Π»ΠΈ

TYPE

Π’ΠΈΠΏ Π²Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ транзистора: 1-NPN, 2-PNP, 3-JFET

C

Π•ΠΌΠΊΠΎΡΡ‚ΡŒ ΠΊΠΎΡ€Ρ€Π΅ΠΊΡ†ΠΈΠΈ

A

1 — 3

ΠšΠΎΡΡ„Ρ„ΠΈΡ†ΠΈΠ΅Π½Ρ‚ усилСния ΠΏΠΎ ΠΏΠΎΡΡ‚оянному Ρ‚ΠΎΠΊΡƒ

ROUTAC (ROUTDC)

1 — 3

Π’Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ΅ сопротивлСниС ΠΏΠΎ ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠΌΡƒ (постоянному) Ρ‚ΠΎΠΊΡƒ

VOFF

НапряТСниС смСщСния нуля

IOFF

Π Π°Π·Π½ΠΎΡΡ‚ΡŒ Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… Ρ‚ΠΎΠΊΠΎΠ² смСщСния

IBIAS

Π’Ρ…ΠΎΠ΄Π½ΠΎΠΉ Ρ‚ΠΎΠΊ смСщСния

SRP (SRN)

2, 3

Максимальная ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒ нарастания (спада) Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ напряТСния

VPS (VNS)

МаксимальноС Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠ΅ ΠΏΠΎΠ»ΠΎΠΆΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ (ΠΎΡ‚Ρ€ΠΈΡ†Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΠ΅) напряТСниС

CMRR

ΠšΠΎΡΡ„Ρ„ΠΈΡ†ΠΈΠ΅Π½Ρ‚ подавлСния синфазного сигнала

GBW

2, 3

ΠŸΠ»ΠΎΡ‰Π°Π΄ΡŒ усилСния (ΠΏΡ€ΠΎΠΈΠ·Π²Π΅Π΄Π΅Π½ΠΈΠ΅ коэфф. A Π½Π° Ρ‡Π°ΡΡ‚ΠΎΡ‚Ρƒ ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ полюса)

PM

2, 3

Запас ΠΏΠΎ Ρ„Π°Π·Π΅ Π½Π° Ρ‡Π°ΡΡ‚ΠΎΡ‚Π΅ Π΅Π΄ΠΈΠ½ΠΈΡ‡Π½ΠΎΠ³ΠΎ усилСния

PD

РассСиваСмая ΠΌΠΎΡ‰Π½ΠΎΡΡ‚ΡŒ

IOSC

Π’Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠΉ Ρ‚ΠΎΠΊ ΠΊΠΎΡ€ΠΎΡ‚ΠΊΠΎΠ³ΠΎ замыкания

Π“Π»Π°Π²Π° 3. Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊΠΈ сигналов

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° Micro-Cap 8 содСрТит ΠΌΠΎΠ΄Π΅Π»ΠΈ источников сигналов Ρ€Π°Π·Π»ΠΈΡ‡Π½ΠΎΠ³ΠΎ назначСния.

Function Sources — Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Π΅ источники сигналов Π·Π°Π΄Π°ΡŽΡ‚ΡΡ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹ΠΌΠΈ зависимостями Π²ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ (Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, VALUE=5*Sin (2*PI*1E6*T) — гармоничСскоС ΠΊΠΎΠ»Π΅Π±Π°Π½ΠΈΠ΅ с Π°ΠΌΠΏΠ»ΠΈΡ‚ΡƒΠ΄ΠΎΠΉ 5 Π’ ΠΈ Ρ‡Π°ΡΡ‚ΠΎΡ‚ΠΎΠΉ 1 ΠœΠ“Ρ†).

Laplace Sources, Z Transform Sources — Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Π΅ управляСмыС источники, Π·Π°Π΄Π°Π²Π°Π΅ΠΌΡ‹Π΅ прСобразованиями Лапласа ΠΈ Z-прСобразованиями. Π­Ρ‚ΠΈ источники ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ ΠΏΡ€ΠΈ расчСтС частотных характСристик ΠΈ ΠΏΡ€ΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠΈ Π΄Ρ€ΡƒΠ³ΠΈΡ… Π²ΠΈΠ΄ΠΎΠ² Π°Π½Π°Π»ΠΈΠ·Π°.

Dependent Sources — Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Π΅ ΠΈ Π½Π΅Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Π΅ зависимыС источники. Π›ΠΈΠ½Π΅ΠΉΠ½Ρ‹Π΅ зависимыС источники Π² Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ схСм ΠœΠ‘ Π·Π°Π΄Π°ΡŽΡ‚ΡΡ двумя Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π°ΠΌΠΈ: PART: <�имя>, VALUE: <�коэффициСнт ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ>. УправляСмыС источники с Π½Π΅Π»ΠΈΠ½Π΅ΠΉΠ½ΠΎΠΉ Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΡŒΡŽ ΠΎΠΏΠΈΡΡ‹Π²Π°ΡŽΡ‚ΡΡ Π±ΠΎΠ»Π΅Π΅ слоТными матСматичСскими выраТСниями, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, полиномиальной Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠ΅ΠΉ. Π’ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ MC8 имССтся Ρ‡Π΅Ρ‚Ρ‹Ρ€Π΅ Ρ‚ΠΈΠΏΠ° Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Ρ… ΠΈ Π½Π΅Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Ρ… зависимых источника:

— ΠΈΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ напряТСния, управляСмый напряТСниСм (ИНУН);

— ΠΈΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ Ρ‚ΠΎΠΊΠ°, управляСмый Ρ‚ΠΎΠΊΠΎΠΌ (ИВУВ);

— ΠΈΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ напряТСния, управляСмый Ρ‚ΠΎΠΊΠΎΠΌ (ИНУВ);

— ΠΈΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ Ρ‚ΠΎΠΊΠ°, управляСмый напряТСниСм (ИВУН).

НаиболСС часто ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Π΅ ΠΏΡ€ΠΈ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ схСм источники сигналов ΠΎΠ±ΡŠΠ΅Π΄ΠΈΠ½Π΅Π½Ρ‹ Π² Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠ΅ Analog Primitives Π² ΠΊΠ°Ρ‚Π°Π»ΠΎΠ³ Waveform Sources, Π° ΡΠΏΠΎΡΠΎΠ±Ρ‹ ΠΈΡ… Π·Π°Π΄Π°Π½ΠΈΡ Π² Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ схСм ΠœΠ‘ приводятся Π½ΠΈΠΆΠ΅.

3.1 НСзависимыС источники постоянного напряТСния ΠΈ Ρ‚ΠΎΠΊΠ°

ГрафичСскиС изобраТСния источника постоянного напряТСния (Battery), источника фиксированного смСщСния для Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Ρ… Ρ†Π΅ΠΏΠ΅ΠΉ (Fixed Analog) ΠΈ ΠΈΡΡ‚ΠΎΡ‡Π½ΠΈΠΊΠ° постоянного Ρ‚ΠΎΠΊΠ° (ISource) ΠΏΠΎΠΊΠ°Π·Π°Π½Ρ‹ Π½Π° Ρ€ΠΈΡ. 6. Π’ Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ схСм ΠœΠ‘ ΠΎΠ½ΠΈ Π·Π°Π΄Π°ΡŽΡ‚ΡΡ ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²Ρ‹ΠΌ способом, Ρ‚. Π΅. послС Π²Ρ‹Π±ΠΎΡ€Π° Π΄Π°Π½Π½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² (ΠΈΠ»ΠΈ послС Π΄Π²ΠΎΠΉΠ½ΠΎΠ³ΠΎ Ρ‰Π΅Π»Ρ‡ΠΊΠ° ΠΏΠΎ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρƒ) появляСтся ΠΎΠΊΠ½ΠΎ задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² источника. Π”Π°Π»Π΅Π΅ ΡƒΠΊΠ°Π·Ρ‹Π²Π°ΡŽΡ‚ΡΡ значСния Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ΠΎΠ² PART:<�имя> ΠΈ VALUE:<οΏ½Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅>. УпомянутыС Π²Ρ‹ΡˆΠ΅ источники ΡΠ²Π»ΡΡŽΡ‚ΡΡ ΠΈΠ΄Π΅Π°Π»ΡŒΠ½Ρ‹ΠΌΠΈ, Ρ‚. Π΅. Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅Π΅ сопротивлСниС источников напряТСния Ρ€Π°Π²Π½ΠΎ Π½ΡƒΠ»ΡŽ, Π° ΠΈΡΡ‚ΠΎΡ‡Π½ΠΈΠΊΠ° Ρ‚ΠΎΠΊΠ° — бСсконСчности.

3.2 Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊΠΈ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½ΠΎΠ³ΠΎ ΠΈ ΡΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ сигналов

Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊΠΈ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½ΠΎΠ³ΠΎ напряТСния (Pulse Source) Π² Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ MC8 ΠΈΠΌΠ΅ΡŽΡ‚ ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²Ρ‹Π΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΠΌΠΎΠ΄Π΅Π»ΠΈ (Ρ‚Π°Π±Π» 3). На Ρ€ΠΈΡ. 7 ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΎ графичСскоС ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½ΠΎΠ³ΠΎ источника ΠΈ Ρ„ΠΎΡ€ΠΌΠ° Π³Π΅Π½Π΅Ρ€ΠΈΡ€ΡƒΠ΅ΠΌΠΎΠ³ΠΎ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½ΠΎΠ³ΠΎ сигнала. Π’ Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ ΡΠΎΠΎΡ‚Π½ΠΎΡˆΠ΅Π½ΠΈΡ

Π’Π°Π±Π»ΠΈΡ†Π° 3

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€Π½ΠΎΡΡ‚ΡŒ

VZERO

ΠΠ°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

Π’

VONE

МаксимальноС Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

Π’

P1

Начало ΠΏΠ΅Ρ€Π΅Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°

Π‘

P2

Начало плоской Π²Π΅Ρ€ΡˆΠΈΠ½Ρ‹ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°

Π‘

P3

ΠšΠΎΠ½Π΅Ρ† плоской Π²Π΅Ρ€ΡˆΠΈΠ½Ρ‹ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°

Π‘

P4

ΠœΠΎΠΌΠ΅Π½Ρ‚ достиТСния уровня VZERO (ΠΊΠΎΠ½Π΅Ρ† Π·Π°Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°)

Π‘

P5

ΠŸΠ΅Ρ€ΠΈΠΎΠ΄ повторСния

Π‘

ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² сигнала Π² ΠΎΠΊΠ½Π΅ задания ΠΈΠΌΠ΅Π½ΠΈ ΠΌΠΎΠ΄Π΅Π»ΠΈ прСдусмотрСны ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ названия ΠΌΠΎΠ΄Π΅Π»Π΅ΠΉ источников ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½ΠΎΠ³ΠΎ напряТСния (Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ MODEL:<�имя ΠΌΠΎΠ΄Π΅Π»ΠΈ>), Ρ„ΠΎΡ€ΠΌΠΈΡ€ΡƒΡŽΡ‰ΠΈΡ… ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹Π΅ сигналы Ρ€Π°Π·Π½ΠΎΠΉ Ρ„ΠΎΡ€ΠΌΡ‹:

IMPULSE — ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹ΠΉ сигнал с Π½ΡƒΠ»Π΅Π²ΠΎΠΉ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒΡŽ Ρ„Ρ€ΠΎΠ½Ρ‚ΠΎΠ² (P1=P2, P3=P4) ΠΈ ΠΏΠ»ΠΎΡ‰Π°Π΄ΡŒΡŽ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°, Ρ€Π°Π²Π½ΠΎΠΉ 1, ΠΏΡ€ΠΈ этом Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ° (P4-P1) Π±Π»ΠΈΠ·ΠΊΠ° ΠΊ Π½ΡƒΠ»ΡŽ (приблиТСнная модСль Π΄-ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°);

PULSE — ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹ΠΉ сигнал, ΠΏΠΎΠΊΠ°Π·Π°Π½Π½Ρ‹ΠΉ Π½Π° Ρ€ΠΈΡ. 7;

SAWTOOTH — ΠΏΠΈΠ»ΠΎΠΎΠ±Ρ€Π°Π·Π½Ρ‹ΠΉ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹ΠΉ сигнал, Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΏΠ΅Ρ€Π΅Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π° ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ (P2-P1) Ρ€Π°Π²Π½Π° Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ самого ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ° (P4-P1), Π° Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ Π²Π΅Ρ€ΡˆΠΈΠ½Ρ‹ Ρ€Π°Π²Π½Π° Π½ΡƒΠ»ΡŽ (P3-P2=0);

SQUARE — ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹ΠΉ сигнал с Π½ΡƒΠ»Π΅Π²ΠΎΠΉ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒΡŽ Ρ„Ρ€ΠΎΠ½Ρ‚ΠΎΠ² (ΠΏΡ€ΡΠΌΠΎΡƒΠ³ΠΎΠ»ΡŒΠ½Ρ‹ΠΉ сигнал), Π° ΠΏΡ€ΠΈ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ Π²Π΅Ρ€ΡˆΠΈΠ½Ρ‹ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°, Ρ€Π°Π²Π½ΠΎΠΉ ΠΏΠΎΠ»ΠΎΠ²ΠΈΠ½Π΅ ΠΏΠ΅Ρ€ΠΈΠΎΠ΄Π° повторСния, Ρ‚Π°ΠΊΠΎΠΉ сигнал называСтся ΠΌΠ΅Π°Π½Π΄Ρ€ΠΎΠΌ;

TRIANGLE — ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹ΠΉ сигнал, ΠΈΠΌΠ΅ΡŽΡ‰ΠΈΠΉ Ρ„ΠΎΡ€ΠΌΡƒ Ρ‚Ρ€Π΅ΡƒΠ³ΠΎΠ»ΡŒΠ½ΠΈΠΊΠ° (Π² Ρ‡Π°ΡΡ‚ности, ΠΏΡ€ΠΈ P2-P1=P4-P3 ΠΈ P3-P2=0 — сигнал ΠΏΡ€ΠΈΠΎΠ±Ρ€Π΅Ρ‚Π°Π΅Ρ‚ Ρ„ΠΎΡ€ΠΌΡƒ Ρ€Π°Π²Π½ΠΎΠ±Π΅Π΄Ρ€Π΅Π½Π½ΠΎΠ³ΠΎ Ρ‚Ρ€Π΅ΡƒΠ³ΠΎΠ»ΡŒΠ½ΠΈΠΊΠ°).

ΠšΠΎΠ½Π΅Ρ‡Π½ΠΎ, с Ρ‚ΠΎΡ‡ΠΊΠΈ зрСния модСлирования Π΄Π°Π½Π½ΠΎΠ΅ Π΄Π΅Π»Π΅Π½ΠΈΠ΅ вСсьма условно, ΠΏΠΎΡΠΊΠΎΠ»ΡŒΠΊΡƒ, Π²Ρ‹Π±Ρ€Π°Π², Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, MODEL=TRIANGLE ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠ΅Ρ€Π΅ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΠΈΡ‚ΡŒ значСния ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² Pi ΠΈ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚ΡŒ Ρ„ΠΎΡ€ΠΌΡƒ сигнала, ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Π½ΡƒΡŽ Π½Π° Ρ€ΠΈΡ. 7. МодСль источника Pulse Source являСтся идСальной, Ρ‚. Π΅. Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅Π΅ сопротивлСниС Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π° Ρ€Π°Π²Π½ΠΎ Π½ΡƒΠ»ΡŽ.

Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ ΡΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ напряТСния (Sine Source) Π² ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½ΠΎΠ³ΠΎ источника прСдставлСн Π² MC8 модСлью, Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅Π΅ сопротивлСниС ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ Π·Π°Π΄Π°Π½ΠΎ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Π΅ΠΌ. ΠŸΡ€ΠΈΠ½ΡΡ‚ΠΎΠ΅ Π² MC8 графичСскоС ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π° ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΎ Π½Π° Ρ€ΠΈΡ. 8. ПослС Π²Ρ‹Π±ΠΎΡ€Π° ΠΌΠΎΠ΄Π΅Π»ΠΈ Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π° MODEL=GENARAL открываСтся ΠΎΠΊΠ½ΠΎ задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² источника, список ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… прСдставлСн Π² Ρ‚Π°Π±Π». 4. Имя ΠΌΠΎΠ΄Π΅Π»ΠΈ впослСдствии ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΎΡ‚Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΏΠΎ ΡΠ²ΠΎΠ΅ΠΌΡƒ ΡƒΡΠΌΠΎΡ‚Ρ€Π΅Π½ΠΈΡŽ, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, ΠΊΠ°ΠΊ ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΎ Π½Π° Ρ€ΠΈΡ. 8, имя Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° MODEL=1 MHZ.

Π’Π°Π±Π»ΠΈΡ†Π° 4

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€-Π½ΠΎΡΡ‚ΡŒ

F

Частота

Π“Ρ†

A

Амплитуда

Π’

DC

ΠŸΠΎΡΡ‚ΠΎΡΠ½Π½Π°Ρ ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‰Π°Ρ

Π’

PH

ΠΠ°Ρ‡Π°Π»ΡŒΠ½Π°Ρ Ρ„Π°Π·Π°

Π Π°Π΄ΠΈΠ°Π½

RS

Π’Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅Π΅ сопротивлСниС

Ом

RP

ΠŸΠ΅Ρ€ΠΈΠΎΠ΄ повторСния Π·Π°Ρ‚ΡƒΡ…Π°ΡŽΡ‰Π΅Π³ΠΎ сигнала

Π‘

TAU

ΠŸΠΎΡΡ‚ΠΎΡΠ½Π½Π°Ρ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ измСнСния Π°ΠΌΠΏΠ»ΠΈΡ‚ΡƒΠ΄Ρ‹ сигнала ΠΏΠΎ ΡΠΊΡΠΏΠΎΠ½Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½ΠΎΠΌΡƒ Π·Π°ΠΊΠΎΠ½Ρƒ

Π‘

ПослСдниС Π΄Π²Π° ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π° для источника гармоничСского колСбания ΠΏΡ€ΠΈΠ½ΠΈΠΌΠ°ΡŽΡ‚ΡΡ Ρ€Π°Π²Π½Ρ‹ΠΌΠΈ Π½ΡƒΠ»ΡŽ, Π° Π΄Π»Ρ Π·Π°Ρ‚ΡƒΡ…Π°ΡŽΡ‰Π΅Π³ΠΎ ΡΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ колСбания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ RP опрСдСляСт ΠΏΠ΅Ρ€ΠΈΠΎΠ΄ΠΈΡ‡Π½ΠΎΡΡ‚ΡŒ ΡΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½Ρ‹Ρ… «Π²ΡΠΏΡ‹ΡˆΠ΅ΠΊ», Π° TAU — ΠΏΠΎΡΡ‚ΠΎΡΠ½Π½ΡƒΡŽ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ «Π·Π°Ρ‚ухания» колСбания. Π€ΠΎΡ€ΠΌΠ° сигнала Π² ΠΏΡ€Π΅Π΄Π΅Π»Π°Ρ… ΠΎΠ΄Π½ΠΎΠ³ΠΎ ΠΏΠ΅Ρ€ΠΈΠΎΠ΄Π° RP ΠΏΡ€ΠΈ этом описываСтся Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ΠΌ. Π’ ΡΠ»ΡƒΡ‡Π°Π΅ гармоничСского колСбания (ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ RP=TAU=0) ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° MC8 ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΡƒΠ΅Ρ‚ сигнал .

3.3 НСзависимыС источники напряТСния ΠΈ Ρ‚ΠΎΠΊΠ° слоТной Ρ„ΠΎΡ€ΠΌΡ‹ (Voltage Source ΠΈ Current Source)

НСзависимыС источники напряТСния (Voltage) ΠΈ Ρ‚ΠΎΠΊΠ° (Current) ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΡΠΎΠ·Π΄Π°Π²Π°Ρ‚ΡŒ Π²Ρ…ΠΎΠ΄Π½Ρ‹Π΅ воздСйствия Ρ€Π°Π·Π½ΠΎΠΎΠ±Ρ€Π°Π·Π½ΠΎΠΉ Ρ„ΠΎΡ€ΠΌΡ‹: ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹Π΅ (Pulse), ΡΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½Ρ‹Π΅ (Sin), ΡΠΊΡΠΏΠΎΠ½Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹Π΅ (Exp), кусочно-Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹Π΅ (PWL), гармоничСскиС с Ρ‡Π°ΡΡ‚ΠΎΡ‚Π½ΠΎΠΉ модуляциСй (SFFM), случайный сигнал ΠΈΠ»ΠΈ «ΡˆΡƒΠΌ» (Noise), сигнал Π² Π²ΠΈΠ΄Π΅ гауссового ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ° (Gaussian). ГрафичСскиС изобраТСния нСзависимых источников ΠΏΠΎΠΊΠ°Π·Π°Π½Ρ‹ Π½Π° Ρ€ΠΈΡ. 9, Π° ΡΠΏΠΎΡΠΎΠ±Ρ‹ задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² практичСски ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²Ρ‹ (см. Ρ‚Π°Π±Π». 5… 8). ΠŸΠΎΡΠΊΠΎΠ»ΡŒΠΊΡƒ для Π΄Π°Π½Π½Ρ‹Ρ… источников Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ MC8 Π½Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Ρ‹ Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½ΠΈΠ΅ сопротивлСния, Ρ‚ΠΎ ΠΌΠΎΠ΄Π΅Π»ΠΈ нСзависимых источников Voltage Source ΠΈ Current Source слСдуСт ΡΡ‡ΠΈΡ‚Π°Ρ‚ΡŒ ΠΈΠ΄Π΅Π°Π»ΡŒΠ½Ρ‹ΠΌΠΈ.

ПослС Π²Ρ‹Π±ΠΎΡ€Π° нСзависимого источника открываСтся ΠΎΠΊΠ½ΠΎ задания

ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΌΠΎΠ΄Π΅Π»ΠΈ, ΠΏΠΎΠΊΠ°Π·Π°Π½Π½ΠΎΠ΅ Π½Π° Ρ€ΠΈΡ. 10. Π€ΠΎΡ€ΠΌΠ° Π³Π΅Π½Π΅Ρ€ΠΈΡ€ΡƒΠ΅ΠΌΠΎΠ³ΠΎ сигнала опрСдСляСтся с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ Π·Π°ΠΊΠ»Π°Π΄ΠΎΠΊ (Puls, Sin ΠΈ Ρ‚. Π΄.), Π° ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ сигнала вводятся Π² ΠΎΠΊΠ½Π΅ задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ², ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ ΠΈΠΌΠ΅Π΅Ρ‚ Ρ€Π°Π·Π½Ρ‹ΠΉ состав для Ρ€Π°Π·Π½Ρ‹Ρ… ΠΌΠΎΠ΄Π΅Π»Π΅ΠΉ сигнала. Для всСх этих сигналов ΠΌΠΎΠΆΠ½ΠΎ Π·Π°Π΄Π°Π²Π°Ρ‚ΡŒ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ постоянной ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‰Π΅ΠΉ — ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ DC (для Ρ€Π΅ΠΆΠΈΠΌΠ° Π°Π½Π°Π»ΠΈΠ·Π° DC), Π° Ρ‚Π°ΠΊΠΆΠ΅ (для Ρ€Π΅ΠΆΠΈΠΌΠ° АБ) ΡƒΠΊΠ°Π·Π°Ρ‚ΡŒ значСния Π°ΠΌΠΏΠ»ΠΈΡ‚ΡƒΠ΄Ρ‹ (AC magnitude) ΠΈ Π½Π°Ρ‡Π°Π»ΡŒΠ½ΠΎΠΉ Ρ„Π°Π·Ρ‹ (AC Phase) сигналов.

Π’ Ρ‚Π°Π±Π». 5 прСдставлСны ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½ΠΎΠ³ΠΎ сигнала (Pulse), Π°Π½Π°Π»ΠΎΠ³ΠΈΡ‡Π½ΠΎΠ³ΠΎ сигналу, рассмотрСнному Ρ€Π°Π½Π΅Π΅ (см. Ρ€ΠΈΡ. 7). Π‘Π»Π΅Π΄ΡƒΠ΅Ρ‚ ΠΎΡ‚ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ отличия Π½Π΅ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π² ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠΈ, Π½ΠΎ ΠΈ Π² ΡΠΏΠΎΡΠΎΠ±Π΅ задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΌΠΎΠ΄Π΅Π»ΠΈ сигнала (Ρ‚Π°Π±Π». 4).

Π’Π°Π±Π»ΠΈΡ†Π° 5

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€Π½ΠΎΡΡ‚ΡŒ

V1

ΠΠ°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

Π’ ΠΈΠ»ΠΈ А

V2

МаксимальноС Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

Π’ ΠΈΠ»ΠΈ А

TD

Начало ΠΏΠ΅Ρ€Π΅Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°

Π‘

TR

Π”Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΏΠ΅Ρ€Π΅Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°

Π‘

TF

Π”Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ Π·Π°Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°

Π‘

PW

Π”Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ плоской части ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°

Π‘

PER

ΠŸΠ΅Ρ€ΠΈΠΎΠ΄ повторСния

Π‘

Π‘ΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½Ρ‹ΠΉ сигнал (Sin) опрСдСляСтся Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ΠΌ:

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ гармоничСского сигнала ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Ρ‹ Π² Ρ‚Π°Π±Π». 6.

Π’Π°Π±Π»ΠΈΡ†Π° 6

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€Π½ΠΎΡΡ‚ΡŒ

V0

ΠŸΠΎΡΡ‚ΠΎΡΠ½Π½Π°Ρ ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‰Π°Ρ

Π’ ΠΈΠ»ΠΈ А

VA

Амплитуда

Π’ ΠΈΠ»ΠΈ А

F

Частота

Π“Ρ†

TD

Π—Π°Π΄Π΅Ρ€ΠΆΠΊΠ°

Π‘

DF

ΠšΠΎΡΡ„Ρ„ΠΈΡ†ΠΈΠ΅Π½Ρ‚ затухания

1/Π‘

PH

Π€Π°Π·Π°

Π³Ρ€Π°Π΄.

Π­ΠΊΡΠΏΠΎΠ½Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½Π°Ρ функция (Exp) описываСтся Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ΠΌ:

Π€ΠΎΡ€ΠΌΠ° сигнала Exp ΠΏΠΎΠΊΠ°Π·Π°Π½Π° Π½Π° Ρ€ΠΈΡ. 11, Π° Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² поясняСтся Π² Ρ‚Π°Π±Π». 7. Π­ΠΊΡΠΏΠΎΠ½Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹ΠΉ сигнал ΠΏΠΎΡ…ΠΎΠΆΠ΅ΠΉ Ρ„ΠΎΡ€ΠΌΡ‹ ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚ΡŒ Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠ΅ΠΉ пассивной Π»ΠΈΠ½Π΅ΠΉΠ½ΠΎΠΉ Ρ†Π΅ΠΏΠΈ ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ порядка (ΠΏΡ€ΠΈ TC1=TC2), Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, RC-Ρ†Π΅ΠΏΠΈ. МодСль Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π° сигнала (Exp) позволяСт ΡΡ„ΠΎΡ€ΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΡΠΊΡΠΏΠΎΠ½Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹ΠΉ сигнал с ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ»ΡŒΠ½Ρ‹ΠΌΠΈ значСниями ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² TC1 ΠΈ TC2 ΠΈ ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΈΠ²Π½ΠΎ Π·Π°Π΄Π°Ρ‚ΡŒ значСния Π΄Ρ€ΡƒΠ³ΠΈΡ… ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ².

Π’Π°Π±Π»ΠΈΡ†Π° 7

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€Π½ΠΎΡΡ‚ΡŒ

V1

ΠΠ°Ρ‡Π°Π»ΡŒΠ½ΠΎΠ΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

Π’ ΠΈΠ»ΠΈ А

V2

МаксимальноС Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

Π’ ΠΈΠ»ΠΈ А

TD1

Начало ΠΏΠ΅Ρ€Π΅Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°

Π‘

TC1

ΠŸΠΎΡΡ‚ΠΎΡΠ½Π½Π°Ρ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΏΠ΅Ρ€Π΅Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°

Π‘

TD2

Начало Π·Π°Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°

Π‘

TC2

ΠŸΠΎΡΡ‚ΠΎΡΠ½Π½Π°Ρ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ Π·Π°Π΄Π½Π΅Π³ΠΎ Ρ„Ρ€ΠΎΠ½Ρ‚Π°

Π‘

Π‘ΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½Π°Ρ функция с Ρ‡Π°ΡΡ‚ΠΎΡ‚Π½ΠΎΠΉ модуляциСй (SFFM) описываСтся Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ΠΌ:

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ нСзависимого источника гармоничСского сигнала с Ρ‡Π°ΡΡ‚ΠΎΡ‚Π½ΠΎΠΉ модуляциСй ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Ρ‹ Π² Ρ‚Π°Π±Π». 8, Π° ΠΏΡ€ΠΈΠΌΠ΅Ρ€ частотно-ΠΌΠΎΠ΄ΡƒΠ»ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ колСбания ΠΏΠΎΠΊΠ°Π·Π°Π½ Π½Π° Ρ€ΠΈΡ. 12. Π’ Π΄Π°Π½Π½ΠΎΠΌ ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ нСсущСй частоты составляСт 1 ΠœΠ“Ρ†, ΠΌΠΎΠ΄ΡƒΠ»ΠΈΡ€ΡƒΡŽΡ‰Π°Ρ частота Ρ€Π°Π²Π½Π° 100 ΠΊΠ“Ρ†, Π° ΠΈΠ½Π΄Π΅ΠΊΡ модуляции (ΠΎΡ‚Π½ΠΎΡˆΠ΅Π½ΠΈΠ΅ Π΄Π΅Π²ΠΈΠ°Ρ†ΠΈΠΈ частоты ΠΊ ΠΌΠΎΠ΄ΡƒΠ»ΠΈΡ€ΡƒΡŽΡ‰Π΅ΠΉ частотС) Ρ€Π°Π²Π΅Π½ 5.

Π’Π°Π±Π»ΠΈΡ†Π° 8

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€Π½ΠΎΡΡ‚ΡŒ

V0

ΠŸΠΎΡΡ‚ΠΎΡΠ½Π½Π°Ρ ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‰Π°Ρ

Π’ ΠΈΠ»ΠΈ А

VA

Амплитуда

Π’ ΠΈΠ»ΠΈ А

F0

Частота нСсущСй

Π“Ρ†

MI

ИндСкс частотной модуляции

;

FM

Частота модуляции

Π“Ρ†

ΠšΡƒΡΠΎΡ‡Π½ΠΎ-Π»ΠΈΠ½Π΅ΠΉΠ½Ρ‹ΠΉ сигнал (PWL) задаСтся ΠΊΠΎΠΎΡ€Π΄ΠΈΠ½Π°Ρ‚Π°ΠΌΠΈ Ρ‚ΠΎΡ‡Π΅ΠΊ ΠΈΠ·Π»ΠΎΠΌΠ° (Ti, Vi), ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ вводятся Π² ΠΎΠΊΠ½Π΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΈ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‚ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° VALUE. Π‘Π»Π΅Π΄ΡƒΠ΅Ρ‚ ΠΎΠ±Ρ€Π°Ρ‚ΠΈΡ‚ΡŒ Π²Π½ΠΈΠΌΠ°Π½ΠΈΠ΅, Ρ‡Ρ‚ΠΎ для ΠΊΠ°ΠΆΠ΄ΠΎΠΉ ΠΏΠ°Ρ€Ρ‹ ΠΊΠΎΠΎΡ€Π΄ΠΈΠ½Π°Ρ‚ Ρ‚ΠΎΡ‡ΠΊΠΈ ΠΈΠ·Π»ΠΎΠΌΠ° сначала опрСдСляСтся врСмя, Π° Π·Π°Ρ‚Π΅ΠΌ Π²Π΅Π»ΠΈΡ‡ΠΈΠ½Π° сигнала, ΠΏΡ€ΠΈΡ‡Π΅ΠΌ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ сигнала Π½Π΅ ΠΌΠΎΠΆΠ΅Ρ‚ Π±Ρ‹Ρ‚ΡŒ ΠΎΡ‚Ρ€ΠΈΡ†Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΠΉ Π²Π΅Π»ΠΈΡ‡ΠΈΠ½ΠΎΠΉ. ΠšΠΎΠΎΡ€Π΄ΠΈΠ½Π°Ρ‚Ρ‹ сосСдних Ρ‚ΠΎΡ‡Π΅ΠΊ ΠΎΡ‚Π΄Π΅Π»ΡΡŽΡ‚ΡΡ Π΄Ρ€ΡƒΠ³ ΠΎΡ‚ Π΄Ρ€ΡƒΠ³Π° ΠΏΡ€ΠΎΠ±Π΅Π»ΠΎΠΌ.

Π‘Π»ΡƒΡ‡Π°ΠΉΠ½Ρ‹ΠΉ сигнал (Noise) гСнСрируСтся Π² Π²ΠΈΠ΄Π΅ кусочно-Π»ΠΈΠ½Π΅ΠΉΠ½ΠΎΠΉ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ, Ρ‚ΠΎΡ‡ΠΊΠΈ ΠΈΠ·Π»ΠΎΠΌΠ° ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ ΠΏΠΎ ΠΎΡΠΈ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ Π·Π°Π΄Π°ΡŽΡ‚ΡΡ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠΌ Interval (расстояниС ΠΌΠ΅ΠΆΠ΄Ρƒ сосСдними Ρ‚ΠΎΡ‡ΠΊΠ°ΠΌΠΈ), Π° Π°ΠΌΠΏΠ»ΠΈΡ‚ΡƒΠ΄Π° сигнала Π² ΡΡ‚ΠΈΡ… Ρ‚ΠΎΡ‡ΠΊΠ°Ρ… опрСдСляСтся ΠΏΠΎ ΡΠ»ΡƒΡ‡Π°ΠΉΠ½ΠΎΠΌΡƒ Π·Π°ΠΊΠΎΠ½Ρƒ (рис. 13) Π² Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Π΅ ± Um. Π’Π΅Π»ΠΈΡ‡ΠΈΠ½Π° Um Π·Π°Π΄Π°Π΅Ρ‚ся ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠΌ Amplitude. ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ввСсти ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ Start Time ΠΈ End Time — Π½Π°Ρ‡Π°Π»ΠΎ ΠΈ ΠΊΠΎΠ½Π΅Ρ† Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ случайного процСсса.

Π‘ΠΈΠ³Π½Π°Π» Π² Π²ΠΈΠ΄Π΅ гауссового ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ° (Gaussian) прСдставляСт собой ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ² ΠΊΠΎΠ»ΠΎΠΊΠΎΠ»ΠΎΠΎΠ±Ρ€Π°Π·Π½ΠΎΠΉ Ρ„ΠΎΡ€ΠΌΡ‹ (рис. 14), Ρ„ΠΎΡ€ΠΌΠ° ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… задаСтся ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠΌΠΈ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌΠΈ:

Amplitude — Π°ΠΌΠΏΠ»ΠΈΡ‚ΡƒΠ΄Π° ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ²;

Width at 50% - ΡˆΠΈΡ€ΠΈΠ½Π° ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ² ΠΏΠΎ ΡƒΡ€ΠΎΠ²Π½ΡŽ 0,5 ΠΎΡ‚ Π°ΠΌΠΏΠ»ΠΈΡ‚ΡƒΠ΄Ρ‹;

Time to peak — врСмя фиксации Π²Π΅Ρ€ΡˆΠΈΠ½Ρ‹ ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ°;

Period — ΠΏΠ΅Ρ€ΠΈΠΎΠ΄ повторСния ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ².

3.4 Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ напряТСния User Source

ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠ½Ρ‹ΠΉ ΡΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½Ρ‹ΠΉ сигнал Ρ‚ΠΎΠΊ Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ напряТСния User Source задаСтся ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Π΅ΠΌ ΠΈΠ»ΠΈ Π² Π²ΠΈΠ΄Π΅ Ρ„Π°ΠΉΠ»Π° (Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ FILE), ΠΈΠ»ΠΈ Π² Π²ΠΈΠ΄Π΅ выраТСния (Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ EXPRESSION). ΠŸΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒ ΠΈΠΌΠ΅Π΅Ρ‚ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ Π·Π°Π΄Π°Ρ‚ΡŒ источник напряТСния ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ»ΡŒΠ½ΠΎΠΉ Ρ„ΠΎΡ€ΠΌΡ‹. Для этого отсчСты сигнала Π·Π°ΠΏΠΈΡΡ‹Π²Π°ΡŽΡ‚ΡΡ Π² Ρ‚Скстовый Ρ„Π°ΠΉΠ» (ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π΄ΠΎΠ»ΠΆΠ΅Π½ ΠΈΠΌΠ΅Ρ‚ΡŒ Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠ΅ .usr) с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ любого тСкстового Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€Π°. Π’ ΡΡ‚ΠΎΠΌ Ρ„Π°ΠΉΠ»Π΅ записываСтся ΠΎΠ±Ρ‰Π΅Π΅ количСство отсчСтов N ΠΈ ΠΏΠ°Ρ€Ρ‹ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ, ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΡŽΡ‰ΠΈΠ΅ выраТСния для отсчСтов ΠΌΠΎΠΌΠ΅Π½Ρ‚ΠΎΠ² Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΈ Π·Π½Π°Ρ‡Π΅Π½ΠΈΡ напряТСний.

Π ΡƒΡ‡Π½ΠΎΠ΅ Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ Ρ„Π°ΠΉΠ»Π° Ρ‚Ρ€Π΅Π±ΡƒΠ΅Ρ‚ Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… Π²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… Π·Π°Ρ‚Ρ€Π°Ρ‚, поэтому Π² MC8 прСдусмотрСно созданиС Ρ„Π°ΠΉΠ»Π° сигнала ΠΏΡƒΡ‚Π΅ΠΌ сохранСния сигналов, ΠΏΠΎΠ»ΡƒΡ‡Π΅Π½Π½Ρ‹Ρ… Π² Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π΅ расчСта ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… процСссов (Ρ€Π΅ΠΆΠΈΠΌ Π°Π½Π°Π»ΠΈΠ·Π° Transient). Для этого послС получСния Π³Ρ€Π°Ρ„ΠΈΠΊΠΎΠ² ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… процСссов Π΄Π²ΠΎΠΉΠ½Ρ‹ΠΌ Ρ‰Π΅Π»Ρ‡ΠΊΠΎΠΌ Π»Π΅Π²ΠΎΠΉ клавиши ΠΌΡ‹ΡˆΠΈ вызываСтся Π΄ΠΈΠ°Π»ΠΎΠ³ΠΎΠ²ΠΎΠ΅ ΠΎΠΊΠ½ΠΎ Properties, Π² ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΌ выбираСтся Π·Π°ΠΊΠ»Π°Π΄ΠΊΠ° Save Curves. Π”Π°Π»Π΅Π΅ указываСтся Ρ‚Ρ€Π΅Π±ΡƒΠ΅ΠΌΡ‹ΠΉ Π³Ρ€Π°Ρ„ΠΈΠΊ сигнала (Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΡΠΊΠΎΠ³ΠΎ) ΠΈ Π½Π°ΠΆΠΈΠΌΠ°Π΅Ρ‚ся ΠΊΠ½ΠΎΠΏΠΊΠ° SAVE.

Для Π²Ρ‹Π·ΠΎΠ²Π° ΠΏΠΎΠ΄Π³ΠΎΡ‚ΠΎΠ²Π»Π΅Π½Π½ΠΎΠ³ΠΎ Ρ„Π°ΠΉΠ»Π° Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ источника напряТСния Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ Π² ΠΎΠΊΠ½Π΅ задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² Π² ΠΏΠΎΠ·ΠΈΡ†ΠΈΠΈ FILE ΡƒΠΊΠ°Π·Π°Ρ‚ΡŒ имя ΠΈ ΠΏΡƒΡ‚ΡŒ сохранСнного *.usr Ρ„Π°ΠΉΠ»Π°. ΠŸΡ€ΠΈ поискС Π½ΡƒΠΆΠ½ΠΎΠ³ΠΎ Ρ„Π°ΠΉΠ»Π° ΠΌΠΎΠΆΠ½ΠΎ Π²ΠΎΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒΡΡ ΠΊΠ½ΠΎΠΏΠΊΠΎΠΉ Browse. На Ρ€ΠΈΡ. 15 Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π° ΠΏΠΎΠΊΠ°Π·Π°Π½ сигнал, Π²Ρ‹Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Π΅ΠΌΡ‹ΠΉ источником User Source, Π·Π°Π΄Π°Π½Π½Ρ‹ΠΌ Ρ„Π°ΠΉΠ»ΠΎΠΌ Sample. usr (ΠΏΠ°ΠΏΠΊΠ° DATA Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ MC8).

Π“Π»Π°Π²Π° 4. ΠšΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ для ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… Ρ†Π΅Π»Π΅ΠΉ (Special Purpose)

Π’ Ρ€Π°Π·Π΄Π΅Π» Special Purpose ΠΏΠΎΠΌΠ΅Ρ‰Π΅Π½Ρ‹ ΠΊΠ»ΡŽΡ‡ΠΈ (Switch), устройства Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ ΠΈ Ρ…ранСния (Sample and Hold), стрСлки (Arrow) ΠΈ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹ (Bubble).

4.1 ΠšΠΎΠΌΠΌΡƒΡ‚ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠ΅ устройства

ΠŸΡ€ΠΈ расчСтС ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄Π½Ρ‹Ρ… процСссов ΡƒΠ΄ΠΎΠ±Π½ΠΎ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒΡΡ элСктричСскими ΠΊΠΎΠΌΠΌΡƒΡ‚ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠΌΠΈ устройствами (ΠΊΠ»ΡŽΡ‡Π°ΠΌΠΈ). Π’ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ MC8 прСдусмотрСны Ρ‚Ρ€ΠΈ ΠΌΠΎΠ΄Π΅Π»ΠΈ ΠΊΠ»ΡŽΡ‡Π΅ΠΉ (рис. 16).

Switch — ΠΊΠ»ΡŽΡ‡ΠΈ (ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π½Π° ΡΡ…Π΅ΠΌΠ΅ — SW), управляСмыС Ρ€Π°Π·Π½ΠΎΡΡ‚ΡŒΡŽ ΠΏΠΎΡ‚Π΅Π½Ρ†ΠΈΠ°Π»ΠΎΠ² (V) ΠΈΠ»ΠΈ Ρ‚ΠΎΠΊΠΎΠΌ (I) Ρ‡Π΅Ρ€Π΅Π· ΠΈΠ½Π΄ΡƒΠΊΡ‚ΠΈΠ²Π½ΠΎΡΡ‚ΡŒ, Π° Ρ‚Π°ΠΊΠΆΠ΅ ΠΊΠΎΠΌΠΌΡƒΡ‚ΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ Π² ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹Π΅ ΠΌΠΎΠΌΠ΅Π½Ρ‚Ρ‹ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ (T). Для задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΌΠΎΠ΄Π΅Π»ΠΈ ΠΊΠ»ΡŽΡ‡Π° SW Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ Π² ΡΡ‚Ρ€ΠΎΠΊΠ΅ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° VALUE ΡƒΠΊΠ°Π·Π°Ρ‚ΡŒ X, n1, n2, Ron, Roff, Π³Π΄Π΅

X — Ρ‚ΠΈΠΏ ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰Π΅Π³ΠΎ сигнала (V, I ΠΈΠ»ΠΈ T);

n1, n2 — значСния ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰Π΅ΠΉ Π²Π΅Π»ΠΈΡ‡ΠΈΠ½Ρ‹, ΠΏΡ€ΠΈ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… происходят ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ;

Ron, Roff — сопротивлСния ΠΊΠ»ΡŽΡ‡Π° Π² Π·Π°ΠΌΠΊΠ½ΡƒΡ‚ΠΎΠΌ ΠΈ Ρ€Π°Π·ΠΎΠΌΠΊΠ½ΡƒΡ‚ΠΎΠΌ состояниях (Π·Π°Π΄Π°Π²Π°Ρ‚ΡŒ Π½Π΅ΠΎΠ±ΡΠ·Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ).

Для ΠΊΠ»ΡŽΡ‡Π΅ΠΉ Ρ‚ΠΈΠΏΠ° V ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΠΉ сигнал прСдставляСт собой Ρ€Π°Π·Π½ΠΎΡΡ‚ΡŒ ΠΏΠΎΡ‚Π΅Π½Ρ†ΠΈΠ°Π»ΠΎΠ² ΠΌΠ΅ΠΆΠ΄Ρƒ ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΠΌΠΈ Π²Ρ‹Π²ΠΎΠ΄Π°ΠΌΠΈ ΠΊΠ»ΡŽΡ‡Π°. Для ΠΊΠ»ΡŽΡ‡Π΅ΠΉ Ρ‚ΠΈΠΏΠ° I ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΠΌ сигналом являСтся Ρ‚ΠΎΠΊ, ΠΏΡ€ΠΎΡ‚Π΅ΠΊΠ°ΡŽΡ‰ΠΈΠΉ Ρ‡Π΅Ρ€Π΅Π· ΠΈΠ½Π΄ΡƒΠΊΡ‚ΠΈΠ²Π½ΠΎΡΡ‚ΡŒ, которая Π΄ΠΎΠ»ΠΆΠ½Π° Π±Ρ‹Ρ‚ΡŒ Π²ΠΊΠ»ΡŽΡ‡Π΅Π½Π° ΠΌΠ΅ΠΆΠ΄Ρƒ ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΠΌΠΈ Π²Ρ‹Π²ΠΎΠ΄Π°ΠΌΠΈ. ΠŸΡ€ΠΈ использовании ΠΊΠ»ΡŽΡ‡Π° Ρ‚ΠΈΠΏΠ° T ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΠΌ сигналом являСтся врСмя, Π° ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΠ΅ Π²Ρ‹Π²ΠΎΠ΄Ρ‹ ΠΊΠ»ΡŽΡ‡Π° Π΄ΠΎΠ»ΠΆΠ½Ρ‹ Π±Ρ‹Ρ‚ΡŒ Π·Π°Π·Π΅ΠΌΠ»Π΅Π½Ρ‹.

Π‘ΠΎΠΎΡ‚Π½ΠΎΡˆΠ΅Π½ΠΈΠ΅ ΠΌΠ΅ΠΆΠ΄Ρƒ значСниями ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… Π²Π΅Π»ΠΈΡ‡ΠΈΠ½ n1 ΠΈ n2 опрСдСляСт Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌ функционирования ΠΊΠ»ΡŽΡ‡Π°. Если n1n2. Если ΠΆΠ΅ n1>n2, Ρ‚ΠΎ ΠΏΡ€ΠΈ n1>X>n2 ΠΊΠ»ΡŽΡ‡ Ρ€Π°Π·ΠΎΠΌΠΊΠ½ΡƒΡ‚ (OFF), Π° ΠΏΡ€ΠΈ X>n1 ΠΈΠ»ΠΈ X

На Ρ€ΠΈΡ. 17 ΠΏΠΎΠΊΠ°Π·Π°Π½Π° схСма, содСрТащая Ρ‚Ρ€ΠΈ ΠΊΠ»ΡŽΡ‡Π° Switch Ρ€Π°Π·Π½Ρ‹Ρ… Ρ‚ΠΈΠΏΠΎΠ²: SW1 (V, 2, 4), SW2 (I, 0.2m, 0.6m), SW3 (T, 0.1u, 0.4u). Π˜ΡΡ‚ΠΎΡ‡Π½ΠΈΠΊ сигнала V1 Π²Ρ‹Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Π΅Ρ‚ ΡΠΈΠ½ΡƒΡΠΎΠΈΠ΄Π°Π»ΡŒΠ½ΠΎΠ΅ ΠΊΠΎΠ»Π΅Π±Π°Π½ΠΈΠ΅ Π°ΠΌΠΏΠ»ΠΈΡ‚ΡƒΠ΄ΠΎΠΉ 5 Π’ ΠΈ Ρ‡Π°ΡΡ‚ΠΎΡ‚ΠΎΠΉ 1 ΠœΠ“Ρ†. ΠšΠ»ΡŽΡ‡ΠΈ SW1 ΠΈ SW2 ΠΊΠΎΠΌΠΌΡƒΡ‚ΠΈΡ€ΡƒΡŽΡ‚ постоянноС напряТСниС (источник V2), Π° ΠΊΠ»ΡŽΡ‡ SW3 — ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠ΅ напряТСниС (V1). Π­ΠΏΡŽΡ€Ρ‹ ΡƒΠΏΡ€Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… напряТСния (v (3) для SW1) ΠΈ Ρ‚ΠΎΠΊΠ° (I (L1) для SW2), Π° Ρ‚Π°ΠΊΠΆΠ΅ ΠΊΠΎΠΌΠΌΡƒΡ‚ΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ напряТСния Π² ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒΠ½Ρ‹Ρ… Ρ‚ΠΎΡ‡ΠΊΠ°Ρ… схСмы v (4), v (2) ΠΈ v (5) ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Ρ‹ Π½Π° Ρ€ΠΈΡ. 18.

Как слСдуСт ΠΈΠ· Ρ€ΠΈΡ. 18, ΠΊΠ»ΡŽΡ‡ SW1 находится Π² ΡΠΎΡΡ‚оянии ON ΠΏΡ€ΠΈ условии 2Π’<4 Π’, ΠΊΠ»ΡŽΡ‡ SW2 Π·Π°ΠΌΠΊΠ½ΡƒΡ‚ ΠΏΡ€ΠΈ условии 0.2mA<0.4mA, Π° ΠΊΠ»ΡŽΡ‡ SW3 находится Π² Π·Π°ΠΌΠΊΠ½ΡƒΡ‚ΠΎΠΌ состоянии Π½Π° ΠΈΠ½Ρ‚Π΅Ρ€Π²Π°Π»Π΅ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ 0.1 мкс…0.4 мкс.

ΠšΠ»ΡŽΡ‡, управляСмый напряТСниСм S (V-Switch), ΠΈΠΌΠ΅Π΅Ρ‚ Ρ‚ΠΎΡ‚ ΠΆΠ΅ ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏ управлСния, Ρ‡Ρ‚ΠΎ ΠΈ Ρ€Π°ΡΡΠΌΠΎΡ‚Ρ€Π΅Π½Π½Ρ‹ΠΉ Π²Ρ‹ΡˆΠ΅ ΠΊΠ»ΡŽΡ‡ Switch Ρ‚ΠΈΠΏΠ° V. Для задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΌΠΎΠ΄Π΅Π»ΠΈ Π΄Π°Π½Π½ΠΎΠ³ΠΎ ΠΊΠ»ΡŽΡ‡Π° Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΠΏΡ€ΠΈΡΠ²ΠΎΠΈΡ‚ΡŒ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Ρƒ MODEL имя, Π° Π² ΠΎΡ‚ΠΊΡ€Ρ‹Π²ΡˆΠ΅ΠΌΡΡ ΠΎΠΊΠ½Π΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ввСсти ΠΈΡ… Π·Π½Π°Ρ‡Π΅Π½ΠΈΡ. ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΡ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² ΠΊΠ»ΡŽΡ‡Π° (Ρ‚Π°Π±Π». 9) нСсколько ΠΎΡ‚Π»ΠΈΡ‡Π°ΡŽΡ‚ΡΡ ΠΎΡ‚ Ρ€Π°ΡΡΠΌΠΎΡ‚Ρ€Π΅Π½Π½Ρ‹Ρ… Π²Ρ‹ΡˆΠ΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ², ΠΎΠ΄Π½Π°ΠΊΠΎ ΠΈΠΌΠ΅ΡŽΡ‚ Ρ‚ΠΎΡ‚ ΠΆΠ΅ смысл, Π° ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Π° Π²Π²ΠΎΠ΄Π° ΠΈΡ… Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ Π±ΠΎΠ»Π΅Π΅ наглядна.

Π’Π°Π±Π»ΠΈΡ†Π° 9

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€Π½ΠΎΡΡ‚ΡŒ

VON

НапряТСниС замыкания ΠΊΠ»ΡŽΡ‡Π°

Π’

VOFF

НапряТСниС размыкания ΠΊΠ»ΡŽΡ‡Π°

Π’

RON

Π‘ΠΎΠΏΡ€ΠΎΡ‚ΠΈΠ²Π»Π΅Π½ΠΈΠ΅ Π·Π°ΠΌΠΊΠ½ΡƒΡ‚ΠΎΠ³ΠΎ ΠΊΠ»ΡŽΡ‡Π°

Ом

ROFF

Π‘ΠΎΠΏΡ€ΠΎΡ‚ΠΈΠ²Π»Π΅Π½ΠΈΠ΅ Ρ€Π°Π·ΠΎΠΌΠΊΠ½ΡƒΡ‚ΠΎΠ³ΠΎ ΠΊΠ»ΡŽΡ‡Π°

Ом

ΠšΠ»ΡŽΡ‡, управляСмый Ρ‚ΠΎΠΊΠΎΠΌ W (I-Switch), Π°Π½Π°Π»ΠΎΠ³ΠΈΡ‡Π΅Π½ ΠΊΠ»ΡŽΡ‡Ρƒ Switch Ρ‚ΠΈΠΏΠ° I. ПослС присвоСния Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Ρƒ MODEL ΠΈΠΌΠ΅Π½ΠΈ открываСтся ΠΎΠΊΠ½ΠΎ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ², ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… приводится Π² Ρ‚Π°Π±Π». 10.

Π’Π°Π±Π»ΠΈΡ†Π° 10

ΠžΠ±ΠΎΠ·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€

Π Π°Π·ΠΌΠ΅Ρ€Π½ΠΎΡΡ‚ΡŒ

ION

Π’ΠΎΠΊ замыкания ΠΊΠ»ΡŽΡ‡Π°

А

IOFF

Π’ΠΎΠΊ размыкания ΠΊΠ»ΡŽΡ‡Π°

А

RON

Π‘ΠΎΠΏΡ€ΠΎΡ‚ΠΈΠ²Π»Π΅Π½ΠΈΠ΅ Π·Π°ΠΌΠΊΠ½ΡƒΡ‚ΠΎΠ³ΠΎ ΠΊΠ»ΡŽΡ‡Π°

Ом

ROFF

Π‘ΠΎΠΏΡ€ΠΎΡ‚ΠΈΠ²Π»Π΅Π½ΠΈΠ΅ Ρ€Π°Π·ΠΎΠΌΠΊΠ½ΡƒΡ‚ΠΎΠ³ΠΎ ΠΊΠ»ΡŽΡ‡Π°

Ом

4.2 Устройство Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ-хранСния (Sample and Hold)

Устройство Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ-хранСния (рис. 19) прСдставляСт собой Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²ΠΎΠ΅ Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°ΡŽΡ‰Π΅Π΅ устройство, ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°Π΅Ρ‚ Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹ΠΉ сигнал Π² Π·Π°Π΄Π°Π½Π½Ρ‹Π΅ ΠΌΠΎΠΌΠ΅Π½Ρ‚Ρ‹ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΈ Ρ…Ρ€Π°Π½ΠΈΡ‚ это Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ Π² Ρ‚Π΅Ρ‡Π΅Π½ΠΈΠ΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, Ρ€Π°Π²Π½ΠΎΠ³ΠΎ ΠΏΠ΅Ρ€ΠΈΠΎΠ΄Ρƒ дискрСтизации. Π˜Π½Ρ‹ΠΌΠΈ словами Π΄Π°Π½Π½ΠΎΠ΅ устройство Ρ€Π΅Π°Π»ΠΈΠ·ΡƒΠ΅Ρ‚ ΠΏΠ΅Ρ€Π²Ρ‹ΠΉ этап прСобразования Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²ΠΎΠ³ΠΎ сигнала Π² Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΡƒΡŽ Ρ„ΠΎΡ€ΠΌΡƒ, Π° ΠΈΠΌΠ΅Π½Π½ΠΎ осущСствляСт Π΄ΠΈΡΠΊΡ€Π΅Ρ‚ΠΈΠ·Π°Ρ†ΠΈΡŽ сигнала Π²ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ.

Для задания ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² устройства Π² Ρ„ΠΎΡ€ΠΌΠ°Ρ‚Π΅ схСм MC ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Ρ‹:

PART: <�имя>, (Π½Π° Ρ€ΠΈΡ. 19 — S1);

INPUT EXPRESSION: <�функция>;

SAMPLE EXPRESSION: <�логичСскоС Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅>;

PERIOD: <οΏ½Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅>.

Π’ ΠΏΠΎΠ»Π΅ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° INPUT EXPRESSION вносится функция напряТСния ΠΈΠ»ΠΈ Ρ‚ΠΎΠΊΠ°, которая Π±ΡƒΠ΄Π΅Ρ‚ ΠΎΠ±Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Ρ‚ΡŒΡΡ устройством Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ-хранСния. НапримСр, напряТСниС Π² ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒΠ½ΠΎΠΉ Ρ‚ΠΎΡ‡ΠΊΠ΅ схСмы V (1) ΠΈΠ»ΠΈ Ρ‚ΠΎΠΊ Ρ‡Π΅Ρ€Π΅Π· рСзистор I (R1) ΠΈ Ρ‚. ΠΏ.

Π’ ΠΏΠΎΠ»Π΅ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° SAMPLE EXPRESSION вносится логичСскоС Π²Ρ‹Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅, Ρ„ΠΎΡ€ΠΌΠΈΡ€ΡƒΡŽΡ‰Π΅Π΅ ΠΊΠΎΠΌΠ°Π½Π΄Ρ‹ Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ ΠΈ Ρ…ранСния. ΠžΠΏΡ€Π΅Π΄Π΅Π»ΡΡ‚ΡŒ Π΄Π°Π½Π½Ρ‹ΠΉ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ Π½Π΅ ΠΎΠ±ΡΠ·Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ, Ссли Π·Π°Π΄Π°Π½ ΠΏΠ΅Ρ€ΠΈΠΎΠ΄ дискрСтизации Π² ΠΏΠΎΠ»Π΅ Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚Π° PERIOD, ΠΏΠΎΡΠΊΠΎΠ»ΡŒΠΊΡƒ Π½Π° ΡΡ‚ΠΎΡ‚ ΠΆΠ΅ ΠΏΠ΅Ρ€ΠΈΠΎΠ΄ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ происходит ΠΈ Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°Π½ΠΈΠ΅ Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ.

ΠŸΡ€ΠΈΠΌΠ΅Ρ€ Ρ€Π°Π±ΠΎΡ‚Ρ‹ устройства Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ-хранСния ΠΏΠΎΠΊΠ°Π·Π°Π½ Π½Π° Ρ€ΠΈΡ. 20. Π’ Π΄Π°Π½Π½ΠΎΠΌ случаС ΠΏΡ€ΠΈ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π° Sample and Hold Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ дискрСтизируСмой Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ ΡƒΠΊΠ°Π·Π°Π½ΠΎ ΠΏΠ°Π΄Π΅Π½ΠΈΠ΅ напряТСния Π½Π° ΠΊΠΎΠ½Π΄Π΅Π½ΡΠ°Ρ‚ΠΎΡ€Π΅ Π‘1, ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½ΠΎ ΠΊΠ°ΠΊ напряТСниС V (3). ΠŸΠ΅Ρ€ΠΈΠΎΠ΄ дискрСтизации (Π°Ρ‚Ρ€ΠΈΠ±ΡƒΡ‚ PERIOD) Ρ€Π°Π²Π΅Π½ 10 нс. Для получСния ΡΠΏΡŽΡ€Ρ‹ напряТСния Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ устройства Π²Ρ‹Π±ΠΎΡ€ΠΊΠΈ-хранСния достаточно ΠΏΠΎΠ΄ΡΠΎΠ΅Π΄ΠΈΠ½ΠΈΡ‚ΡŒ ΠΊ Π½Π΅ΠΌΡƒ Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ Π½Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ рСзистор (Π½Π° Ρ€ΠΈΡ. 20 — R2).

4.3 Π‘Ρ‚Ρ€Π΅Π»ΠΊΠΈ (Arrow) ΠΈ ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹ (Bubble)

ΠšΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Ρ‹ Arrow (стрСлки) ΠΈ Bubble (ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Ρ‹) ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ, ΠΊΠ°ΠΊ ΠΏΡ€Π°Π²ΠΈΠ»ΠΎ, для нанСсСния Π½Π° ΡΡ…Π΅ΠΌΡƒ Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΉ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΈ Π½Π΅ ΡƒΡ‡Π°ΡΡ‚Π²ΡƒΡŽΡ‚ Π² ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ (рис. 21). Π’ Ρ‡Π°ΡΡ‚ности, ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ Arrow ΠΌΠΎΠΆΠ΅Ρ‚ ΡƒΠΊΠ°Π·Ρ‹Π²Π°Ρ‚ΡŒ Π½Π°ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠ΅ Ρ‚ΠΎΠΊΠ°, Π° ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ Bubble, ΠΏΠΎΠΌΠ΅Ρ‡Π΅Π½Π½Ρ‹ΠΉ тСкстовой ΠΌΠ΅Ρ‚ΠΊΠΎΠΉ, ΠΌΠΎΠΆΠ΅Ρ‚ ΠΎΠ±ΠΎΠ·Π½Π°Ρ‡Π°Ρ‚ΡŒ Π²Ρ…ΠΎΠ΄ (Input) ΠΈΠ»ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄ (Output) схСмы, Π° Ρ‚Π°ΠΊΠΆΠ΅ Π½ΠΎΠΌΠ΅Ρ€ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒΠ½ΠΎΠΉ Ρ‚ΠΎΡ‡ΠΊΠΈ ΠΈ Π΄Ρ€.

Π—Π°ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅

MicroCAP-7 — это ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹ΠΉ ΠΏΠ°ΠΊΠ΅Ρ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ схСмотСхничСского Π°Π½Π°Π»ΠΈΠ·Π°, ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π½Ρ‹ΠΉ для Ρ€Π΅ΡˆΠ΅Π½ΠΈΡ ΡˆΠΈΡ€ΠΎΠΊΠΎΠ³ΠΎ ΠΊΡ€ΡƒΠ³Π° Π·Π°Π΄Π°Ρ‡. Π₯Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€Π½ΠΎΠΉ ΠΎΡΠΎΠ±Π΅Π½Π½ΠΎΡΡ‚ΡŒΡŽ этого ΠΏΠ°ΠΊΠ΅Ρ‚Π°, Π²ΠΏΡ€ΠΎΡ‡Π΅ΠΌ, ΠΊΠ°ΠΊ ΠΈ Π²ΡΠ΅Ρ… ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ сСмСйства MicroCAP (MicroCAP-3… MicroCAP-8) [1, 2], являСтся Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ ΡƒΠ΄ΠΎΠ±Π½ΠΎΠ³ΠΎ ΠΈ Π΄Ρ€ΡƒΠΆΠ΅ΡΡ‚Π²Π΅Π½Π½ΠΎΠ³ΠΎ графичСского интСрфСйса, Ρ‡Ρ‚ΠΎ Π΄Π΅Π»Π°Π΅Ρ‚ Π΅Π³ΠΎ особСнно ΠΏΡ€ΠΈΠ²Π»Π΅ΠΊΠ°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ для Π½Π΅ΠΏΡ€ΠΎΡ„Π΅ΡΡΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠΉ студСнчСской Π°ΡƒΠ΄ΠΈΡ‚ΠΎΡ€ΠΈΠΈ. НСсмотря Π½Π° Π΄ΠΎΡΡ‚Π°Ρ‚ΠΎΡ‡Π½ΠΎ скромныС трСбования ΠΊ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎ-Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹ΠΌ срСдствам ΠŸΠš (процСссор Π½Π΅ Π½ΠΈΠΆΠ΅ Pentium II, ОБ Windows 95/98/ME ΠΈΠ»ΠΈ Windows NT 4/2000/XP, ΠΏΠ°ΠΌΡΡ‚ΡŒ Π½Π΅ ΠΌΠ΅Π½Π΅Π΅ 64 Мб, ΠΌΠΎΠ½ΠΈΡ‚ΠΎΡ€ Π½Π΅ Ρ…ΡƒΠΆΠ΅ SVGA), Π΅Π³ΠΎ возмоТности достаточно Π²Π΅Π»ΠΈΠΊΠΈ. Π‘ Π΅Π³ΠΎ ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ ΠΌΠΎΠΆΠ½ΠΎ Π°Π½Π°Π»ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ Π½Π΅ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Π΅, Π½ΠΎ ΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Π΅ устройства. Π’ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎ Ρ‚Π°ΠΊΠΆΠ΅ ΠΈ ΡΠΌΠ΅ΡˆΠ°Π½Π½ΠΎΠ΅ модСлирования Π°Π½Π°Π»ΠΎΠ³ΠΎ-Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… элСктронных устройств, Ρ€Π΅Π°Π»ΠΈΠ·ΡƒΠ΅ΠΌΠΎΠ΅ Π² ΠΏΠΎΠ»Π½ΠΎΠΉ ΠΌΠ΅Ρ€Π΅ ΠΎΠΏΡ‹Ρ‚Π½Ρ‹ΠΌ ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Π΅ΠΌ ΠΏΠ°ΠΊΠ΅Ρ‚Π°, способным Π² Π½Π΅ΡΡ‚Π°Π½Π΄Π°Ρ€Ρ‚Π½ΠΎΠΉ ситуации ΡΠΎΠ·Π΄Π°Π²Π°Ρ‚ΡŒ собствСнныС ΠΌΠ°ΠΊΡ€ΠΎΠΌΠΎΠ΄Π΅Π»ΠΈ, ΠΎΠ±Π»Π΅Π³Ρ‡Π°ΡŽΡ‰ΠΈΠ΅ ΠΈΠΌΠΈΡ‚Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ΅ ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ Π±Π΅Π· ΠΏΠΎΡ‚Π΅Ρ€ΠΈ сущСствСнной ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΎ ΠΏΠΎΠ²Π΅Π΄Π΅Π½ΠΈΠΈ систСмы.

ΠŸΠ΅Ρ€Π΅Ρ‡ΠΈΡΠ»Π΅Π½Π½Ρ‹Π΅ достоинства Π΄Π΅Π»Π°ΡŽΡ‚ ΠΏΠ°ΠΊΠ΅Ρ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ MicroCAP-7 вСсьма ΠΏΡ€ΠΈΠ²Π»Π΅ΠΊΠ°Ρ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ для модСлирования элСктронных устройств срСднСй стСпСни слоТности. Удобство Π² Ρ€Π°Π±ΠΎΡ‚Π΅, Π½Π΅Ρ‚Ρ€Π΅Π±ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΊ Ρ€Π΅ΡΡƒΡ€ΡΠ°ΠΌ ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π° ΠΈ ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ Π°Π½Π°Π»ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ элСктронныС устройства с Π΄ΠΎΡΡ‚Π°Ρ‚ΠΎΡ‡Π½ΠΎ большим количСством ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ² ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΡƒΡΠΏΠ΅ΡˆΠ½ΠΎ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ этот ΠΏΠ°ΠΊΠ΅Ρ‚ Π² ΡƒΡ‡Π΅Π±Π½ΠΎΠΌ процСссС. НастоящСС пособиС Π½Π΅ ΠΏΡ€Π΅Ρ‚Π΅Π½Π΄ΡƒΠ΅Ρ‚ Π½Π° ΠΏΠΎΠ»Π½ΠΎΠ΅ руководство ΠΏΠΎ Ρ€Π°Π±ΠΎΡ‚Π΅ с MicroCAP-7.

1. Π Π°Π·Π΅Π²ΠΈΠ³ Π’. Π”. БистСма схСмотСхничСского модСлирования Micro-Cap V. — ΠœΠΎΡΠΊΠ²Π°, «Π‘ΠΎΠ»ΠΎΠ½», 1997. — 273 с. 621.3 Π 17 /1997 — 1 Π°Π±, 3 Ρ‡Π·

2. Π Π°Π·Π΅Π²ΠΈΠ³ Π’. Π”. БистСма сквозного проСктирования элСктронных устройств Design Lab 8.0. — ΠœΠΎΡΠΊΠ²Π°, «Π‘ΠΎΠ»ΠΎΠ½», 1999. 004 Π -17 /2003 — 1 Π°Π±/ 2000 — 11 Π°Π±, 5 Ρ‡Π·

3. ΠšΠ°Ρ€Π»Π°Ρ‰ΡƒΠΊ Π’. И. ЭлСктронная лаборатория Π½Π° IBM PC. ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° Electronics Workbench ΠΈ Π΅Π΅ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅.— Москва: Π‘ΠΎΠ»ΠΎΠ½-Π , 2001. — 726 с. 004 K23/ 10 Π°Π±, 5 Ρ‡Π·.

4. Micro-Cap 7.0 Electronic Circuit Analysis Program Reference Manual Copyright 1982;2001 by Spectrum Software 1021 South Wolfe Road Sunnyvale, CA 94 086

ΠŸΠΎΠΊΠ°Π·Π°Ρ‚ΡŒ вСсь тСкст
Π—Π°ΠΏΠΎΠ»Π½ΠΈΡ‚ΡŒ Ρ„ΠΎΡ€ΠΌΡƒ Ρ‚Π΅ΠΊΡƒΡ‰Π΅ΠΉ Ρ€Π°Π±ΠΎΡ‚ΠΎΠΉ