ΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² написании студСнчСских Ρ€Π°Π±ΠΎΡ‚
АнтистрСссовый сСрвис

Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° устройства Π½Π° ΠŸΠ›Π˜Π‘

ΠšΡƒΡ€ΡΠΎΠ²Π°ΡΠŸΠΎΠΌΠΎΡ‰ΡŒ Π² Π½Π°ΠΏΠΈΡΠ°Π½ΠΈΠΈΠ£Π·Π½Π°Ρ‚ΡŒ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒΠΌΠΎΠ΅ΠΉ Ρ€Π°Π±ΠΎΡ‚Ρ‹

Π‘Ρ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ устройства Π½Π° ΠŸΠ›Π˜Π‘ ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ логичСскиС ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Π΅ схСмы ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΡΠΎΠ·Π΄Π°Π²Π°Ρ‚ΡŒ устройства практичСски Π½Π΅ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Π½ΠΎΠΉ слоТности, с ΠΎΠ³Ρ€ΠΎΠΌΠ½Ρ‹ΠΌ количСством Π²Ρ…ΠΎΠ΄ΠΎΠ² ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄ΠΎΠ² (Π±Ρ‹Π²Π°ΡŽΡ‚ плис с Π±ΠΎΠ»ΡŒΡˆΠ΅ Ρ‡Π΅ΠΌ 1000 Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² («ΠΏΠΈΠ½ΠΎΠ²)), с ΠΎΠ³Ρ€ΠΎΠΌΠ½ΠΎΠΉ ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒΡŽ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ Π΄Π°Π½Π½Ρ‹Ρ…, с Π±ΠΎΠ»ΡŒΡˆΠΈΠΌΠΈ значСниями Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… напряТСний, большим объСмом памяти (Π΄ΠΎ 540 ΠΊΠ±ΠΈΡ‚), Π΄ΠΎΠΏΡƒΡΡ‚ΠΈΠΌΡƒΡŽ Π΄ΠΎΠ·Ρƒ облучСния (Ρ‡Ρ‚ΠΎ Π½Π΅ΠΌΠ°Π»ΠΎΠ²Π°ΠΆΠ½ΠΎ… Π§ΠΈΡ‚Π°Ρ‚ΡŒ Π΅Ρ‰Ρ‘ >

Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° устройства Π½Π° ΠŸΠ›Π˜Π‘ (Ρ€Π΅Ρ„Π΅Ρ€Π°Ρ‚, курсовая, Π΄ΠΈΠΏΠ»ΠΎΠΌ, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»ΡŒΠ½Π°Ρ)

Π’ ΠΏΠΎΡΠ»Π΅Π΄Π½Π΅Π΅ врСмя особСнно Π°ΠΊΡ‚ΡƒΠ°Π»ΡŒΠ½ΠΎΠΉ Ρ‚Π΅ΠΌΠΎΠΉ являСтся обСспСчСниС бСзопасности тСхнологичСских процСссов Π½Π° Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… производствСнных ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π°Ρ… (особСнно Π½Π° Ρ…имичСских Π·Π°Π²ΠΎΠ΄Π°Ρ… ΠΈ ΠΠ­Π‘). Но Π΄Π»Ρ Ρ‚ΠΎΠ³ΠΎ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΡƒΡΡ‚Ρ€Π°Π½ΠΈΡ‚ΡŒ ΠΏΡ€ΠΎΠ±Π»Π΅ΠΌΡƒ, сначала Π½ΡƒΠΆΠ½ΠΎ Π΅Π΅ Π²Ρ‹ΡΠ²ΠΈΡ‚ΡŒ. Для опрСдСлСния нСисправностСй ΠΏΠΎ ΠΏΠΎΠΊΠ°Π·Π°Π½ΠΈΡΠΌ, ΠΏΠΎΠ»ΡƒΡ‡Π°Π΅ΠΌΡ‹ΠΌ ΠΎΡ‚ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠΎΠ², ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½ΠΎ ΠΌΠ½ΠΎΠ³ΠΎ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Ρ… устройств. Π‘ΠΎΠ»ΡŒΡˆΠΈΠ½ΡΡ‚Π²ΠΎ ΠΈΠ· Π½ΠΈΡ… Π΄Π΅ΠΉΡΡ‚Π²ΡƒΡŽΡ‚ ΠΏΠΎ ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΡƒ сравнСния ΠΏΠΎΠ»ΡƒΡ‡Π°Π΅ΠΌΠΎΠ³ΠΎ значСния с Π½Π΅ΠΊΠΎΠΉ «ΡƒΡΡ‚Π°Π²ΠΊΠΎΠΉ». Π‘ 2008 Π³ΠΎΠ΄Π° Π½Π°ΠΌΠ΅Ρ‚ΠΈΠ»Π°ΡΡŒ тСндСнция ΠΏΠΎ ΡƒΠ²Π΅Π»ΠΈΡ‡Π΅Π½ΠΈΡŽ количСства внСдряСмых Π½Π° ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΡΡ‚Π²Π° встроСнных срСдств контроля, Ρ€Π΅Π°Π»ΠΈΠ·ΠΎΠ²Π°Π½Π½Ρ‹Ρ… Π½Π° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… логичСских ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… схСмах (ΠŸΠ›Π˜Π‘), ΠΎΠ΄Π½Π°ΠΊΠΎ Π±ΠΎΠ»ΡŒΡˆΠΈΠ½ΡΡ‚Π²ΠΎ прСдприятий Π² Π£ΠΊΡ€Π°ΠΈΠ½Π΅ всё Π΅Ρ‰Π΅ ΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ ΡƒΡΡ‚Π°Ρ€Π΅Π²ΡˆΠΈΠΌΠΈ ΠΌΠ΅Ρ‚ΠΎΠ΄Π°ΠΌΠΈ ΠΈ Ρ‚Схнологиями диагностирования нСисправностСй (Π² Ρ‚.Ρ‡., основанными Π½Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°Ρ…, ASIC, спСциализированных ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π°Ρ… ΠΈΠ»ΠΈ Π±Π°Π·ΠΎΠ²Ρ‹Ρ… ΠΌΠ°Ρ‚Ρ€ΠΈΡ‡Π½Ρ‹Ρ… кристаллах).

ΠŸΠ›Π˜Π‘ — это элСктронный ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹ΠΉ для создания Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… схСм. Π’ ΠΎΡ‚Π»ΠΈΡ‡ΠΈΠ΅ ΠΎΡ‚ ΠΎΠ±Ρ‹Ρ‡Π½Ρ‹Ρ… Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… микросхСм, Π»ΠΎΠ³ΠΈΠΊΠ° Ρ€Π°Π±ΠΎΡ‚Ρ‹ ΠŸΠ›Π˜Π‘ Π½Π΅ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΡΠ΅Ρ‚ся ΠΏΡ€ΠΈ ΠΈΠ·Π³ΠΎΡ‚ΠΎΠ²Π»Π΅Π½ΠΈΠΈ, Π° Π·Π°Π΄Π°Ρ‘тся посрСдством программирования (проСктирования). Для этого ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ ΠΎΡ‚Π»Π°Π΄ΠΎΡ‡Π½Ρ‹Π΅ срСды, ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰ΠΈΠ΅ Π·Π°Π΄Π°Ρ‚ΡŒ ΠΆΠ΅Π»Π°Π΅ΠΌΡƒΡŽ структуру Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠ³ΠΎ устройства Π² Π²ΠΈΠ΄Π΅ ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½ΠΎΠΉ элСктричСской схСмы ΠΈΠ»ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ Π½Π° ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… языках описания Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Ρ‹ Verilog, VHDL, AHDL ΠΈ Π΄Ρ€., Ρ‡Ρ‚ΠΎ обСспСчиваСт ΠΆΠ΅Π»Π°Π΅ΠΌΡƒΡŽ Π³ΠΈΠ±ΠΊΠΎΡΡ‚ΡŒ ΠΊΠ°ΠΊ ΠΏΡ€ΠΈ создании, Ρ‚Π°ΠΊ ΠΈ ΠΏΡ€ΠΈ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠΈ устройства Π½Π° ΠΎΠ±ΡŠΠ΅ΠΊΡ‚Π°Ρ…: прСдусмотрСна Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ коррСктирования Π½Π΅ΠΊΠΈΡ… ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΎΠ² устройства ΠΏΠΎΠ΄ спСцифику ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚Π½ΠΎΠ³ΠΎ производства (количСство Π²Ρ…ΠΎΠ΄ΠΎΠ² ΠΎΡ‚ Π΄Π°Ρ‚Ρ‡ΠΈΠΊΠΎΠ², количСство Π²Ρ‹Ρ…ΠΎΠ΄ΠΎΠ², ΠΆΠ΅Π»Π°Π΅ΠΌΡ‹ΠΉ коэффициСнт надСТности ΠΈ ΠΏΡ€ΠΎΡ‡Π΅Π΅).

ΠΠ»ΡŒΡ‚Π΅Ρ€Π½Π°Ρ‚ΠΈΠ²ΠΎΠΉ ΠŸΠ›Π˜Π‘ ΠΏΡ€ΠΈ создании срСдств контроля ΡΠ²Π»ΡΡŽΡ‚ΡΡ Π±Π°Π·ΠΎΠ²Ρ‹Π΅ ΠΌΠ°Ρ‚Ρ€ΠΈΡ‡Π½Ρ‹Π΅ кристаллы, Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‰ΠΈΠ΅ заводского производствСнного процСсса для программирования; ASIC — спСциализированныС Π·Π°ΠΊΠ°Π·Π½Ρ‹Π΅ Π‘Π˜Π‘ (большиС ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Π΅ схСмы), ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΏΡ€ΠΈ мСлкосСрийном ΠΈ Π΅Π΄ΠΈΠ½ΠΈΡ‡Π½ΠΎΠΌ производствС сущСствСнно Π΄ΠΎΡ€ΠΎΠΆΠ΅; спСциализированныС ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Ρ‹, процСссоры (Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ ΡΠΈΠ³Π½Π°Π»ΡŒΠ½Ρ‹ΠΉ процСссор) ΠΈΠ»ΠΈ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠ΅Π΄Π»Π΅Π½Π½Π΅Π΅ ΠŸΠ›Π˜Π‘ ΠΈΠ·-Π·Π° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ способа Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠΎΠ².

НСкоторыС ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΠΈ ΠŸΠ›Π˜Π‘ ΠΏΡ€Π΅Π΄Π»Π°Π³Π°ΡŽΡ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Π΅ процСссоры для своих ΠŸΠ›Π˜Π‘, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ ΠΌΠΎΠ΄ΠΈΡ„ΠΈΡ†ΠΈΡ€ΠΎΠ²Π°Π½Ρ‹ ΠΏΠΎΠ΄ ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚Π½ΡƒΡŽ Π·Π°Π΄Π°Ρ‡Ρƒ, Π° Π·Π°Ρ‚Π΅ΠΌ встроСны Π² ΠŸΠ›Π˜Π‘. Π’Π΅ΠΌ самым обСспСчиваСтся ΡƒΠΌΠ΅Π½ΡŒΡˆΠ΅Π½ΠΈΠ΅ мСста Π½Π° ΠΏΠ΅Ρ‡Π°Ρ‚Π½ΠΎΠΉ ΠΏΠ»Π°Ρ‚Π΅ ΠΈ ΡƒΠΏΡ€ΠΎΡ‰Π΅Π½ΠΈΠ΅ проСктирования самой ΠŸΠ›Π˜Π‘. Π‘Π°ΠΌΡ‹Π΅ распространСнныС ΠΈΠ· Π½ΠΈΡ… Ρ€Π°ΡΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°ΡŽΡ‚ΡΡ Π² Π΄Π°Π½Π½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Π΅.

ПослСдниС Π³ΠΎΠ΄Ρ‹ Ρ…Π°Ρ€Π°ΠΊΡ‚Π΅Ρ€ΠΈΠ·ΡƒΡŽΡ‚ΡΡ Ρ€Π΅Π·ΠΊΠΈΠΌ ростом плотности ΡƒΠΏΠ°ΠΊΠΎΠ²ΠΊΠΈ элСмСнтов Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅, ΠΌΠ½ΠΎΠ³ΠΈΠ΅ Π²Π΅Π΄ΡƒΡ‰ΠΈΠ΅ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΠΈ Π½Π°Ρ‡Π°Π»ΠΈ сСрийноС ΠŸΠ›Π˜Π‘ с ΡΠΊΠ²ΠΈΠ²Π°Π»Π΅Π½Ρ‚Π½ΠΎΠΉ Ρ‘ΠΌΠΊΠΎΡΡ‚ΡŒΡŽ Π±ΠΎΠ»Π΅Π΅ 1 ΠΌΠ»Π½. логичСских Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ, ΠΏΡ€ΠΈ этом Ρ†Π΅Π½Ρ‹ Π½Π° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ логичСскиС схСмы ΠΈΠ΄ΡƒΡ‚ Π²Π½ΠΈΠ· (срСдняя Ρ†Π΅Π½Π° ΠΎΠΏΡƒΡΡ‚ΠΈΠ»Π°ΡΡŒ ΠΎΡ‚ $ 2500 Π² 2005 Π΄ΠΎ $ 400 Π² 2011 Π³ΠΎΠ΄Ρƒ), Ρ‡Ρ‚ΠΎ Π΅Ρ‰Π΅ Π±ΠΎΠ»Π΅Π΅ ΡƒΠ²Π΅Π»ΠΈΡ‡ΠΈΠ²Π°Π΅Ρ‚ ΠΊΠΎΠ½ΠΊΡƒΡ€Π΅Π½Ρ‚ΠΎΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ Π΄Π°Π½Π½ΠΎΠ³ΠΎ Π²ΠΈΠ΄Π° устройств для обСспСчСния контроля Π½Π° Π±ΠΎΠ»ΡŒΡˆΠΈΡ… прСдприятиях.

1. Анализ ΠΏΡ€ΠΎΠ±Π»Π΅ΠΌΡ‹

1.1 ΠŸΡ€Π΅Π΄ΠΏΠΎΡΡ‹Π»ΠΊΠΈ ΠΊ Ρ€Π°Π·Π²ΠΈΡ‚ΠΈΡŽ ΠŸΠ›Π˜Π‘. ΠŸΡ€Π΅ΠΈΠΌΡƒΡ‰Π΅ΡΡ‚Π²Π° ΠŸΠ›Π˜Π‘

ΠžΠ±Ρ‰Π΅ΠΉ Ρ‚Π΅Π½Π΄Π΅Π½Ρ†ΠΈΠ΅ΠΉ развития элСмСнтной Π±Π°Π·Ρ‹ Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ схСмотСхники, начиная с ΠΏΠΎΡΠ²Π»Π΅Π½ΠΈΡ ΠΏΠ΅Ρ€Π²Ρ‹Ρ… ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… микросхСм Π² Π½Π°Ρ‡Π°Π»Π΅ 60-Ρ… Π³ΠΎΠ΄ΠΎΠ² ΠΈ Π΄ΠΎ Π½Π°ΡΡ‚оящСго Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ, являСтся Π½Π΅ΠΏΡ€Π΅Ρ€Ρ‹Π²Π½ΠΎΠ΅ ΠΏΠΎΠ²Ρ‹ΡˆΠ΅Π½ΠΈΠ΅ числа логичСских элСмСнтов (Π›Π­), Ρ€Π°Π·ΠΌΠ΅Ρ‰Π°Π΅ΠΌΡ‹Ρ… Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅, с ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹ΠΌ сниТСниСм ΡƒΠ΄Π΅Π»ΡŒΠ½ΠΎΠΉ стоимости ΠΎΠ΄Π½ΠΎΠ³ΠΎ элСмСнта. Π£Π²Π΅Π»ΠΈΡ‡Π΅Π½ΠΈΠ΅ числа Π›Π­ Π½Π΅ΠΏΡ€Π΅Ρ€Ρ‹Π²Π½ΠΎ ΠΎΡ‚ΠΊΡ€Ρ‹Π²Π°Π΅Ρ‚ возмоТности создания всё Π±ΠΎΠ»Π΅Π΅ слоТных Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств, Ρ€Π°Π·ΠΌΠ΅Ρ‰Π°Π΅ΠΌΡ‹Ρ… Π½Π° ΠΎΠ΄Π½ΠΎΠΌ кристаллС. К ΠΎΡΠ½ΠΎΠ²Π½Ρ‹ΠΌ (Π΄Π°Π»Π΅ΠΊΠΎ Π½Π΅ ΠΏΠΎΠ»Π½Ρ‹ΠΌ) ΠΏΠΎΠ»ΠΎΠΆΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π°ΠΌ этой Ρ‚Π΅Π½Π΄Π΅Π½Ρ†ΠΈΠΈ ΠΌΠΎΠΆΠ½ΠΎ отнСсти:

— ΠΏΠΎΡΡ‚оянноС Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠ΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Ρ… возмоТностСй ΠΈ ΡƒΠ»ΡƒΡ‡ΡˆΠ΅Π½ΠΈΠ΅ ΠΏΠΎΡ‚Ρ€Π΅Π±ΠΈΡ‚Π΅Π»ΡŒΡΠΊΠΈΡ… свойств ΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹Ρ… ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ;

— ΡƒΠΌΠ΅Π½ΡŒΡˆΠ΅Π½ΠΈΠ΅ Π³Π°Π±Π°Ρ€ΠΈΡ‚ΠΎΠ² ΠΈ ΠΏΠΎΡ‚рСбляСмой мощности;

— ΠΏΠΎΠ²Ρ‹ΡˆΠ΅Π½ΠΈΠ΅ надСТности.

ΠžΡ‚Ρ€Π°ΠΆΠ΅Π½ΠΈΠ΅ΠΌ этой Ρ‚Π΅Π½Π΄Π΅Π½Ρ†ΠΈΠΈ Π² ΡΠΎΡΡ‚Π°Π²Π΅ элСмСнтной Π±Π°Π·Ρ‹ Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ схСмотСхники явился ΠΏΠ΅Ρ€Π΅Ρ…ΠΎΠ΄ ΠΎΡ‚ ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… микросхСм (ИМБ) ΠΌΠ°Π»ΠΎΠΉ ΠΈ ΡΡ€Π΅Π΄Π½Π΅ΠΉ стСпСни ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Ρ†ΠΈΠΈ ΠΊ Π±ΠΎΠ»ΡŒΡˆΠΈΠΌ (Π‘Π˜Π‘) ΠΈ ΡΠ²Π΅Ρ€Ρ…Π±ΠΎΠ»ΡŒΡˆΠΈΠΌ (Π‘Π‘Π˜Π‘) ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹ΠΌ микросхСмам. Одним ΠΈΠ· Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ Ρ€Π΅Π²ΠΎΠ»ΡŽΡ†ΠΈΠΎΠ½Π½Ρ‹Ρ… Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ΠΎΠ² развития микроэлСктроники явилась Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ создания ΠΏΠ΅Ρ€Π²Ρ‹Ρ… микропроцСссоров (Π½Π°Ρ‡Π°Π»ΠΎ 70-Ρ… Π³ΠΎΠ΄ΠΎΠ²), Ρ‡Ρ‚ΠΎ Π΄Π°Π»ΠΎ ΠΌΠΎΡ‰Π½Ρ‹ΠΉ Ρ‚ΠΎΠ»Ρ‡ΠΎΠΊ ΠΊ Π²Π½Π΅Π΄Ρ€Π΅Π½ΠΈΡŽ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΉ ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ Π²ΠΎ Π²ΡΠ΅Ρ… сфСрах чСловСчСской Π΄Π΅ΡΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ.

Однако Π΄Π°Π»Π΅ΠΊΠΎ Π½Π΅ Π²ΡΠ΅ практичСскиС Π·Π°Π΄Π°Ρ‡ΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ схСмотСхники ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ Ρ€Π΅ΡˆΠ΅Π½Ρ‹ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ лишь с ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ ΠΎΠ΄Π½ΠΈΡ… микропроцСссоров. Π­Ρ‚ΠΎ обусловлСно органичСски присущСй всСм микропроцСссорам ΠΎΡΠΎΠ±Π΅Π½Π½ΠΎΡΡ‚ΡŒΡŽ, связанной с Ρ‚Π΅ΠΌ, Ρ‡Ρ‚ΠΎ Ρ€Π΅ΡˆΠ΅Π½ΠΈΠ΅ любой Π·Π°Π΄Π°Ρ‡ΠΈ микропроцСссором всСгда состоит ΠΈΠ· ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ шагов ΠΊΠΎΠ½Π΅Ρ‡Π½ΠΎΠΉ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ, Π² Ρ‚ΠΎ Π²Ρ€Π΅ΠΌΡ ΠΊΠ°ΠΊ для Ρ€Π΅ΡˆΠ΅Π½ΠΈΡ ΠΌΠ½ΠΎΠ³ΠΈΡ… Π·Π°Π΄Π°Ρ‡ (Π² Ρ‚ΠΎΠΌ числС связанных ΠΈ Ρ ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡Π΅Π½ΠΈΠ΅ΠΌ Ρ€Π°Π±ΠΎΡ‚Ρ‹ самих микропроцСссоров) Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ΡΡ устройства с ΠΌΠΈΠ½ΠΈΠΌΠ°Π»ΡŒΠ½ΠΎΠΉ Π·Π°Π΄Π΅Ρ€ΠΆΠΊΠΎΠΉ выполнСния логичСских Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ. БущСствуСт Ρ‚Ρ€ΠΈ основных способа ΡƒΠ΄ΠΎΠ²Π»Π΅Ρ‚Π²ΠΎΡ€ΠΈΡ‚ΡŒ эту ΠΏΠΎΡ‚Ρ€Π΅Π±Π½ΠΎΡΡ‚ΡŒ:

— ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ Π½Π°Π±ΠΎΡ€ΠΎΠ² стандартной дискрСтной Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΈ ΠΎΠ±Ρ‰Π΅Π³ΠΎ примСнСния, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, Π½Π°Π±ΠΎΡ€ΠΎΠ² логичСских микросхСм 74-ΠΉ сСрии (Π’Π’Π›, КМОП) ΠΈ Ρ‚ΠΈΠΏΠΎΠ²Ρ‹Ρ… ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… Π‘Π˜Π‘;

— ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘;

— ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… логичСских ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… схСм (ΠŸΠ›Π˜Π‘).

Наборы дискрСтной Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΈ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… сСрий довольно Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ врСмя являлись основной элСмСнтной Π±Π°Π·ΠΎΠΉ для Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств. Π’ ΡΠΎΡΡ‚Π°Π² Ρ‚Π°ΠΊΠΈΡ… Π½Π°Π±ΠΎΡ€ΠΎΠ² Π²Ρ…ΠΎΠ΄ΠΈΡ‚ большоС число ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹Ρ… микросхСм, ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π½Ρ‹Ρ… ΠΊΠ°ΠΊ для выполнСния Π±Π°Π·ΠΎΠ²Ρ‹Ρ… логичСских Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ (И, Π˜Π›Π˜, НЕ), Ρ‚Π°ΠΊ ΠΈ Π΄Π»Ρ выполнСния Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ Ρ‚ΠΈΠΏΠΎΠ²Ρ‹Ρ… Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств, Ρ‚Π°ΠΊΠΈΡ… ΠΊΠ°ΠΊ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Ρ‹, рСгистры, счётчики, ΠΌΡƒΠ»ΡŒΡ‚ΠΈΠΏΠ»Π΅ΠΊΡΠΎΡ€Ρ‹, Π΄Π΅ΡˆΠΈΡ„Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ ΠΈ Ρ‚. Π΄., Ρ‡Ρ‚ΠΎ Π΄Π°Ρ‘Ρ‚ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ ΠΈΡ… Π΄Π»Ρ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ Π±ΠΎΠ»Π΅Π΅ слоТных Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎ Π·Π°ΠΊΠΎΠ½Ρ‡Π΅Π½Π½Ρ‹Ρ… Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств.

Основной нСдостаток дискрСтной Π»ΠΎΠ³ΠΈΠΊΠΈ Π·Π°ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ΡΡ Π² Ρ‚ΠΎΠΌ, Ρ‡Ρ‚ΠΎ для Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹Ρ… ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ трСбуСтся большоС число микросхСм. БлСдствиСм этого являСтся большоС число Π²Π½Π΅ΡˆΠ½ΠΈΡ… соСдинСний, ΡΠ»ΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ конструкции ΠΈ Π±ΠΎΠ»ΡŒΡˆΠΈΠ΅ Π³Π°Π±Π°Ρ€ΠΈΡ‚Ρ‹ ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚, большая Π΄Π»ΠΈΠ½Π° ΡΠΎΠ΅Π΄ΠΈΠ½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΎΠ², ΡΠ»ΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ построСния устройств с Π²Ρ‹ΡΠΎΠΊΠΎΠΉ Ρ‚Π°ΠΊΡ‚ΠΎΠ²ΠΎΠΉ частотой, низкая Π½Π°Π΄Ρ‘ΠΆΠ½ΠΎΡΡ‚ΡŒ. Для ΡƒΠΌΠ΅Π½ΡŒΡˆΠ΅Π½ΠΈΡ числа микросхСм ΠΏΡ€ΠΈ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ микропроцСссорных систСм Π±Ρ‹Π» Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Π½ ряд ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… Π‘Π˜Π‘, ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… собой спСциализированныС Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Π΅ устройства, ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π½Ρ‹Π΅ для выполнСния Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Ρ‚ΠΈΠΏΠΎΠ²Ρ‹Ρ… Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ Π² ΡΠΎΡΡ‚Π°Π²Π΅ микропроцСссорных систСм, Ρ‚Π°ΠΊΠΈΠ΅ ΠΊΠ°ΠΊ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ динамичСских ΠžΠ—Π£, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ ΠΏΡ€Π΅Ρ€Ρ‹Π²Π°Π½ΠΈΠΉ, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ прямого доступа Π² ΠΏΠ°ΠΌΡΡ‚ΡŒ, ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Ρ‹ шин ΠΈ Ρ‚. Π΄. Однако Π΄Π°ΠΆΠ΅ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… Π‘Π˜Π‘ Π½Π΅ ΠΏΠΎΠ·Π²ΠΎΠ»ΡΠ΅Ρ‚ ΠΏΠΎΠ»Π½ΠΎΡΡ‚ΡŒΡŽ ΠΏΡ€Π΅ΠΎΠ΄ΠΎΠ»Π΅Ρ‚ΡŒ основныС нСдостатки дискрСтной Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΈ.

НаиболСС ΠΊΠ°Ρ€Π΄ΠΈΠ½Π°Π»ΡŒΠ½ΠΎ ΠΏΡ€ΠΎΠ±Π»Π΅ΠΌΠ° Π³Π°Π±Π°Ρ€ΠΈΡ‚ΠΎΠ², быстродСйствия, упрощСния конструкций ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚ ΠΈ ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡Π΅Π½ΠΈΡ надёТности Ρ€Π΅ΡˆΠ°Π΅Ρ‚ΡΡ ΠΏΡƒΡ‚Π΅ΠΌ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΈ ΠΈΠ·Π³ΠΎΡ‚овлСния Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘ (классичСский ΠΏΡ€ΠΈΠΌΠ΅Ρ€ — чипсСты матСринских ΠΏΠ»Π°Ρ‚ ΠΈ ΠΊΠ°Ρ€Ρ‚ Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΡ ΠΏΠ΅Ρ€ΡΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€ΠΎΠ²). К ΡΠΎΠΆΠ°Π»Π΅Π½ΠΈΡŽ, этот ΠΏΡƒΡ‚ΡŒ экономичСски ΠΎΠΏΡ€Π°Π²Π΄Π°Π½ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΏΡ€ΠΈ крупносСрийном производствС ΠΎΠ΄Π½ΠΎΡ‚ΠΈΠΏΠ½Ρ‹Ρ… ΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹Ρ… ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ, вслСдствиС высокой стоимости ΠΈ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… сроков ΠΏΠΎΠ΄Π³ΠΎΡ‚ΠΎΠ²ΠΊΠΈ производства Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘. ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, ΠΏΡ€ΠΈ использовании Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘ возмоТная модификация ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ Ρ‚Ρ€Π΅Π±ΡƒΠ΅Ρ‚ сущСствСнных Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΌΠ°Ρ‚Π΅Ρ€ΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… ΠΈ Π²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… Π·Π°Ρ‚Ρ€Π°Ρ‚.

Π’ Ρ‚ΠΎ ΠΆΠ΅ врСмя Π½Π° ΠΏΡ€Π°ΠΊΡ‚ΠΈΠΊΠ΅ достаточно часто Π²ΠΎΠ·Π½ΠΈΠΊΠ°ΡŽΡ‚ потрСбности Π² Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ΅ ΠΎΡ€ΠΈΠ³ΠΈΠ½Π°Π»ΡŒΠ½Ρ‹Ρ… Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств ΠΈ ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ, Π½Π΅ Ρ€Π°ΡΡΡ‡ΠΈΡ‚Π°Π½Π½Ρ‹Ρ… Π½Π° ΠΊΡ€ΡƒΠΏΠ½ΠΎΡΠ΅Ρ€ΠΈΠΉΠ½ΠΎΠ΅ производство, для ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘ Π½Π΅ ΠΏΡ€ΠΈΠ΅ΠΌΠ»Π΅ΠΌΠ° Π»ΠΈΠ±ΠΎ ΠΏΠΎ ΡΠΊΠΎΠ½ΠΎΠΌΠΈΡ‡Π΅ΡΠΊΠΈΠΌ ΠΏΡ€ΠΈΡ‡ΠΈΠ½Π°ΠΌ, Π»ΠΈΠ±ΠΎ ΠΏΠΎ ΡΡ€ΠΎΠΊΠ°ΠΌ исполнСния. Π’ Ρ‚Π΅Ρ‡Π΅Π½ΠΈΠ΅ Π΄Π»ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ СдинствСнным ΠΏΡƒΡ‚Π΅ΠΌ для Ρ€Π΅ΡˆΠ΅Π½ΠΈΡ Ρ‚Π°ΠΊΠΈΡ… Π·Π°Π΄Π°Ρ‡ являлось использованиС ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Ρ… микросхСм дискрСтной Π»ΠΎΠ³ΠΈΠΊΠΈ ΠΈ ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… Π‘Π˜Π‘, вСдь возмоТности ΠΏΠ΅Ρ€Π²Ρ‹Ρ… ΠΏΠΎΠΊΠΎΠ»Π΅Π½ΠΈΠΉ простых ΠŸΠ›Π˜Π‘ Π±Ρ‹Π»ΠΈ вСсьма ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Ρ‹, Π° Ρ†Π΅Π½Π° слоТных ΠŸΠ›Π˜Π‘ ΠΎΡ‡Π΅Π½ΡŒ высокой, ΠΊΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, имСлись ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½Ρ‹Π΅ слоТности ΠΈ Ρ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств Π½Π° ΠŸΠ›Π˜Π‘.

Π’ ΠΏΠΎΡΠ»Π΅Π΄Π½ΠΈΠ΅ Π³ΠΎΠ΄Ρ‹, ΠΎΠ΄Π½Π°ΠΊΠΎ, ΠΏΡ€ΠΎΠΈΠ·ΠΎΡˆΠ΅Π» Ρ€Π΅Π·ΠΊΠΈΠΉ ΠΏΡ€ΠΎΡ€Ρ‹Π² ΠΊΠ°ΠΊ Π² Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΈ изготовлСния ΠŸΠ›Π˜Π‘, Ρ‚Π°ΠΊ ΠΈ Π² Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ΅ ΠΈΠ½ΡΡ‚Ρ€ΡƒΠΌΠ΅Π½Ρ‚Π°Π»ΡŒΠ½Ρ‹Ρ… срСдств, ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π½Ρ‹Ρ… для проСктирования Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств Π½Π° ΠŸΠ›Π˜Π‘ ΠΈ Π²Ρ‹ΠΏΡƒΡΠΊΠ° Π³ΠΎΡ‚ΠΎΠ²Ρ‹Ρ… ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ. ВСхнологичСский ΠΏΡ€ΠΎΡ€Ρ‹Π² характСризуСтся Ρ€Π΅Π·ΠΊΠΈΠΌ ΡƒΠ²Π΅Π»ΠΈΡ‡Π΅Π½ΠΈΠ΅ΠΌ числа эквивалСнтных логичСских Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ, Ρ€Π°Π·ΠΌΠ΅Ρ‰Π°Π΅ΠΌΡ‹Ρ… Π½Π° ΠΎΠ΄Π½ΠΎΠΌ кристаллС (Π΄ΠΎ 10 ΠΌΠ»Π½ Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ Π² Π±Π»ΠΈΠΆΠ°ΠΉΡˆΠ΅ΠΉ пСрспСктивС), ΠΏΠΎΠ²Ρ‹ΡˆΠ΅Π½ΠΈΠ΅ΠΌ Ρ€Π°Π±ΠΎΡ‡Π΅ΠΉ частоты (Π΄ΠΎ 400 ΠœΠ“Ρ†) с Ρ€Π΅Π·ΠΊΠΈΠΌ ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹ΠΌ сниТСниСм ΠΊΠ°ΠΊ ΡƒΠ΄Π΅Π»ΡŒΠ½ΠΎΠΉ, Ρ‚Π°ΠΊ ΠΈ Π°Π±ΡΠΎΠ»ΡŽΡ‚Π½ΠΎΠΉ стоимости. Π’Π°ΠΊ, ΠΏΠΎ Π΄Π°Π½Π½Ρ‹ΠΌ [1], ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ ΠŸΠ›Π˜Π‘ Ρ‘ΠΌΠΊΠΎΡΡ‚ΡŒΡŽ 100 000 снизилась с 1500…3000 Π΄ΠΎ 100…350 Ρƒ.Π΅. ΠΈ ΡΡ‚Π° тСндСнция являСтся устойчивой. ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, Π² ΠΎΡ‚мСчаСтся, Ρ‡Ρ‚ΠΎ программируСмая Π»ΠΎΠ³ΠΈΠΊΠ° ΠΏΠΎ Ρ‚Π΅ΠΌΠΏΠ°ΠΌ развития Π½Π°Ρ‡Π°Π»Π° ΠΎΠΏΠ΅Ρ€Π΅ΠΆΠ°Ρ‚ΡŒ Π΄Ρ€ΡƒΠ³ΠΈΠ΅ направлСния Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ элСктроники (ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Ρ… микропроцСссоров, ΡΠΈΠ³Π½Π°Π»ΡŒΠ½Ρ‹Ρ… процСссоров, ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² ΠΈ Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π˜Π‘). НапримСр, ΠΎΠ΄ΠΈΠ½ ΠΈΠ· ΠΌΠΈΡ€ΠΎΠ²Ρ‹Ρ… Π»ΠΈΠ΄Π΅Ρ€ΠΎΠ² ΠΏΠΎ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΡΡ‚Π²Ρƒ ΠŸΠ›Π˜Π‘ Ρ„ΠΈΡ€ΠΌΠ° «Xilinx» Π² I ΠΊΠ²Π°Ρ€Ρ‚Π°Π»Π΅ 2007 Π³. (Π΄ΠΎ Ρ„инансового кризиса) ΡƒΠ²Π΅Π»ΠΈΡ‡ΠΈΠ»Π° ΠΎΠ±ΡŠΡ‘ΠΌ ΠΏΡ€ΠΎΠ΄Π°ΠΆ Π½Π° 26% ΠΏΠΎ ΡΡ€Π°Π²Π½Π΅Π½ΠΈΡŽ с I ΠΊΠ²Π°Ρ€Ρ‚Π°Π»ΠΎΠΌ 2006 Π³. ΠŸΡ€ΠΈ этом ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ ΠŸΠ›Π˜Π‘ ΠΎΠ±ΡŠΡ‘ΠΌΠΎΠΌ Π΄ΠΎ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… тысяч Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ Π½Π° ΡƒΠΊΡ€Π°ΠΈΠ½ΡΠΊΠΎΠΌ Ρ€Ρ‹Π½ΠΊΠ΅, прСдставлСнном Ρ†Π΅Π»Ρ‹ΠΌ рядом поставщиков элСктронных ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², составляСт всСго нСсколько Ρƒ. Π΅.

ΠžΡΠ½ΠΎΠ²Π½Ρ‹ΠΌΠΈ Ρ„ΠΈΡ€ΠΌΠ°ΠΌΠΈ-производитСлями ΠŸΠ›Π˜Π‘ Π² Π½Π°ΡΡ‚оящСС врСмя ΡΠ²Π»ΡΡŽΡ‚ΡΡ Ρ‚Π°ΠΊΠΈΠ΅ Ρ„ΠΈΡ€ΠΌΡ‹, ΠΊΠ°ΠΊ «Altera», «Atmel», «Csypres», «Lattice», «Lucent», «Xilinx». Π’ Π΄Π°Π»ΡŒΠ½Π΅ΠΉΡˆΠ΅ΠΌ ΠΌΡ‹ Ρ€Π°ΡΡΠΌΠΎΡ‚Ρ€ΠΈΠΌ Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ пСрспСктивныС ΠΈΠ· Π½ΠΈΡ….

1.2 Π‘Ρ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ Π°Π½Π°Π»ΠΈΠ· ΠŸΠ›Π˜Π‘, Π‘Π‘Π˜Π‘ ΠΈ ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ²

Π’Π΅Ρ…Π½ΠΈΠΊΠΎ-экономичСскиС ΠΏΠΎΠΊΠ°Π·Π°Ρ‚Π΅Π»ΠΈ соврСмСнных ΠŸΠ›Π˜Π‘ достигли Ρ‚Π°ΠΊΠΎΠ³ΠΎ уровня, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ обСспСчиваСт ΠΏΡ€ΠΈ выпускС ΠΏΠ°Ρ€Ρ‚ΠΈΠΉ ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ Π΄ΠΎ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… сотСн Π±ΠΎΠ»Π΅Π΅ Π½ΠΈΠ·ΠΊΡƒΡŽ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ ΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹Ρ… ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ, Ρ‡Π΅ΠΌ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘. ΠžΡ‡Π΅Π²ΠΈΠ΄Π½ΠΎ, Ρ‡Ρ‚ΠΎ Π² Π±Π»ΠΈΠΆΠ°ΠΉΡˆΠ΅Π΅ врСмя этот ΠΏΠΎΡ€ΠΎΠ³ Π±ΡƒΠ΄Π΅Ρ‚ ΠΏΠΎΠ²Ρ‹ΡˆΠ°Ρ‚ΡŒΡΡ, обСспСчивая ΡΠΊΠΎΠ½ΠΎΠΌΠΈΡ‡Π΅ΡΠΊΡƒΡŽ Ρ†Π΅Π»Π΅ΡΠΎΠΎΠ±Ρ€Π°Π·Π½ΠΎΡΡ‚ΡŒ примСнСния ΠŸΠ›Π˜Π‘ ΠΈ Π² ΠΊΡ€ΡƒΠΏΠ½ΠΎΡΠ΅Ρ€ΠΈΠΉΠ½ΠΎΠΌ производствС. ΠšΡ€ΠΎΠΌΠ΅ чисто экономичСских прСдпосылок, этому способствуСт ряд Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… достоинств соврСмСнных ΠŸΠ›Π˜Π‘, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π·Π°ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ΡΡ Π² Ρ‚ΠΎΠΌ, Ρ‡Ρ‚ΠΎ, сохраняя всС прСимущСства ΠΎΠ΄Π½ΠΎΠΊΡ€ΠΈΡΡ‚Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ Ρ€Π΅ΡˆΠ΅Π½ΠΈΡ, присущСго Π·Π°ΠΊΠ°Π·Π½Ρ‹ΠΌ Π‘Π‘Π˜Π‘, устройства Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ ΠŸΠ›Π˜Π‘ ΠΌΠΎΠ³ΡƒΡ‚ ΠΎΡ‡Π΅Π½ΡŒ быстро ΠΈ Ρ ΠΌΠ°Π»Ρ‹ΠΌΠΈ Π·Π°Ρ‚Ρ€Π°Ρ‚Π°ΠΌΠΈ ΠΏΠΎΠ΄Π²Π΅Ρ€Π³Π°Ρ‚ΡŒΡΡ ΠΌΠΎΠ΄Π΅Ρ€Π½ΠΈΠ·Π°Ρ†ΠΈΠΈ (upgrade). Благодаря Π½Π°Π»ΠΈΡ‡ΠΈΡŽ Π²ΠΎ ΠΌΠ½ΠΎΠ³ΠΈΡ… Ρ‚ΠΈΠΏΠ°Ρ… ΠŸΠ›Π˜Π‘ встроСнных систСм программирования ΠΈ ΠΊΠΎΠ½Ρ„игурирования, ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰ΠΈΡ… ΠΏΠ΅Ρ€Π΅ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ ΠΈΡ… ΠΏΡ€ΡΠΌΠΎ Π½Π° ΠΌΠ΅ΡΡ‚Π΅ Π±Π΅Π· использования Π²Π½Π΅ΡˆΠ½ΠΈΡ… ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€ΠΎΠ², устройства Π½Π° ΠŸΠ›Π˜Π‘ ΠΌΠΎΠ³ΡƒΡ‚ ΠΌΠΎΠ΄Π΅Ρ€Π½ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒΡΡ Π΄Π°ΠΆΠ΅ Π½Π°Ρ…ΠΎΠ΄ΡΡΡŒ Π² ΠΏΠΎΡΡ‚оянной эксплуатации Ρƒ Π·Π°ΠΊΠ°Π·Ρ‡ΠΈΠΊΠ°. Π‘ ΡΡ‚ΠΎΠΉ Ρ†Π΅Π»ΡŒΡŽ Π²Π΅Π΄ΡƒΡ‰ΠΈΠ΅ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΈ Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ Π² ΡΡ€Π΅Π΄ΡΡ‚Π²Π° проСктирования устройств Π½Π° ΠŸΠ›Π˜Π‘ ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΡƒ обновлСния вСрсии ΠŸΠ›Π˜Π‘ Ρ‡Π΅Ρ€Π΅Π· ΠΈΠ½Ρ‚Π΅Ρ€Π½Π΅Ρ‚. ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, сроки проСктирования ΠΈ Π²Ρ‹ΠΏΡƒΡΠΊΠ° Π³ΠΎΡ‚ΠΎΠ²ΠΎΠΉ ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ†ΠΈΠΈ Π½Π° ΠŸΠ›Π˜Π‘ Π½Π΅ΠΈΠ·ΠΌΠ΅Ρ€ΠΈΠΌΠΎ мСньшС, Ρ‡Π΅ΠΌ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° ΠΈ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΡΡ‚Π²ΠΎ Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘, Ρ‡Ρ‚ΠΎ Π² ΡƒΡΠ»ΠΎΠ²ΠΈΡΡ… Π΄ΠΈΠ½Π°ΠΌΠΈΡ‡Π½ΠΎ ΠΈΠ·ΠΌΠ΅Π½ΡΡŽΡ‰Π΅Π³ΠΎΡΡ Ρ€Ρ‹Π½ΠΊΠ° ΠΈΠ½ΠΎΠ³Π΄Π° ΠΌΠΎΠΆΠ΅Ρ‚ ΠΈΠΌΠ΅Ρ‚ΡŒ Ρ€Π΅ΡˆΠ°ΡŽΡ‰Π΅Π΅ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅.

НСмаловаТным ΠΎΠ±ΡΡ‚ΠΎΡΡ‚Π΅Π»ΡŒΡΡ‚Π²ΠΎΠΌ являСтся Ρ‚ΠΎ, Ρ‡Ρ‚ΠΎ для выпуска Π³ΠΎΡ‚ΠΎΠ²ΠΎΠΉ ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ†ΠΈΠΈ Π½Π΅ Ρ‚рСбуСтся Ρ‚ΠΎΠ³ΠΎ слоТнСйшСго ΠΈ Π΄ΠΎΡ€ΠΎΠ³ΠΎΡΡ‚оящСго тСхнологичСского оборудования, ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ трСбуСтся для производства Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘. ПослСднСС ΠΎΠ±ΡΡ‚ΠΎΡΡ‚Π΅Π»ΡŒΡΡ‚Π²ΠΎ ΠΎΡ‚ΠΊΡ€Ρ‹Π²Π°Π΅Ρ‚ срСдним ΠΈ Π΄Π°ΠΆΠ΅ ΠΌΠ΅Π»ΠΊΠΈΠΌ Ρ„ΠΈΡ€ΠΌΠ°ΠΌ ΠΏΡƒΡ‚ΡŒ Π½Π° Ρ€Ρ‹Π½ΠΎΠΊ ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ соврСмСнной элСктронной Ρ‚Π΅Ρ…Π½ΠΈΠΊΠΈ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π±Ρ‹Π» Ρ€Π°Π½Π΅Π΅ доступСн Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π³ΠΈΠ³Π°Π½Ρ‚Π°ΠΌ элСктронной ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½ΠΎΡΡ‚ΠΈ (см. Ρ‚Π°Π±Π»ΠΈΡ†Ρƒ 1.1).

Π’Π°Π±Π»ΠΈΡ†Π° 1.1 — ΠžΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ Ρ€Π΅ΠΉΡ‚ΠΈΠ½Π³ΠΈ эффСктивности примСнСния стандартной Π»ΠΎΠ³ΠΈΠΊΠΈ, Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘ ΠΈ ΠŸΠ›Π˜Π‘

ΠŸΠΎΠΊΠ°Π·Π°Ρ‚Π΅Π»ΠΈ

Бтандартная Π»ΠΎΠ³ΠΈΠΊΠ°

Π—Π°ΠΊΠ°Π·Π½Ρ‹Π΅ Π‘Π‘Π˜Π‘

ΠŸΠ›Π˜Π‘

БыстродСйствиС

ΠŸΠ»ΠΎΡ‚Π½ΠΎΡΡ‚ΡŒ ΡƒΠΏΠ°ΠΊΠΎΠ²ΠΊΠΈ элСмСнтов

Π‘Ρ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ

ВрСмя Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ

ВрСмя ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ

ВрСмя производства

ВозмоТности ΠΌΠΎΠ΄Π΅Ρ€Π½ΠΈΠ·Π°Ρ†ΠΈΠΈ

Риск изготовитСля

Π‘Ρ‚Π΅ΠΏΠ΅Π½ΡŒ Π°Π²Ρ‚ΠΎΠΌΠ°Ρ‚ΠΈΠ·Π°Ρ†ΠΈΠΈ процСсса проСктирования

ΠŸΡ€ΠΈ ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²ΠΎΠΌ числС логичСских элСмСнтов Π² ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π΅ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ суммарного числа стандартных микросхСм ΠΏΡ€Π΅Π²Ρ‹ΡˆΠ°Π΅Ρ‚ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ ΠŸΠ›Π˜Π‘, Π° ΠΏΡ€Π΅ΠΈΠΌΡƒΡ‰Π΅ΡΡ‚Π²ΠΎ Π² ΡΡ‚оимости Π·Π°ΠΊΠ°Π·Π½Ρ‹Ρ… Π‘Π‘Π˜Π‘ проявляСтся Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΏΡ€ΠΈ ΠΎΡ‡Π΅Π½ΡŒ большом ΠΎΠ±ΡŠΡ‘ΠΌΠ΅ производства ΠΈΠ΄Π΅Π½Ρ‚ΠΈΡ‡Π½Ρ‹Ρ… микросхСм.

Π”ΠΎ Π½Π΅Π΄Π°Π²Π½Π΅Π³ΠΎ Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ микропроцСссоры ΠΈ ΠŸΠ›Π˜Π‘ прСдставляли собой Π΄Π²Π° нСзависимых направлСния Π² Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ микроэлСктроникС. НасущныС потрСбности Π² Π΄Π°Π»ΡŒΠ½Π΅ΠΉΡˆΠ΅ΠΌ ΠΏΠΎΠ²Ρ‹ΡˆΠ΅Π½ΠΈΠΈ стСпСни ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Ρ†ΠΈΠΈ ΠΏΡ€ΠΈΠ²Π΅Π»ΠΈ ΠΊ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ΅ Π‘Π‘Π˜Π‘ класса SOC (Systems On Chip) — Ρ‚. Π΅. «ΡΠΈΡΡ‚Π΅ΠΌ Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅».

Π‘ΡƒΡ‰Π½ΠΎΡΡ‚ΡŒ Ρ‚Π°ΠΊΠΎΠ³ΠΎ ΠΏΠΎΠ΄Ρ…ΠΎΠ΄Π° Π·Π°ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ΡΡ Π² ΡΠ»ΠΈΡΠ½ΠΈΠΈ Π΄Π²ΡƒΡ… ΠΏΡƒΡ‚Π΅ΠΉ развития слоТных ΡƒΠ½ΠΈΠ²Π΅Ρ€ΡΠ°Π»ΡŒΠ½Ρ‹Ρ… микросхСм (микропроцСссоров ΠΈ ΠŸΠ›Π˜Π‘) Π² Π΅Π΄ΠΈΠ½ΠΎΠ΅ Ρ†Π΅Π»ΠΎΠ΅ ΠΏΡƒΡ‚Ρ‘ΠΌ размСщСния Π½Π° ΠΎΠ΄Π½ΠΎΠΌ кристаллС связанных ΠΌΠ΅ΠΆΠ΄Ρƒ собой микропроцСссорного ядра ΠΈ ΠΌΠ°ΡΡΠΈΠ²Π° Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ ΠŸΠ›Π˜Π‘. ΠŸΠΈΠΎΠ½Π΅Ρ€ΠΎΠΌ Π² ΡΠΎΠ·Π΄Π°Π½ΠΈΠΈ ΠΏΠΎΠ΄ΠΎΠ±Π½Ρ‹Ρ… устройств явилась Ρ„ΠΈΡ€ΠΌΠ° «Atmel», которая Π² ΠΎΠΊΡ‚ябрС 1999 Π³. Π²Ρ‹ΠΏΡƒΡΡ‚ΠΈΠ»Π° ΠΏΠ΅Ρ€Π²ΠΎΠ΅ сСмСйство Π‘Π‘Π˜Π‘ FPSLIC (Field Programmable System Level Integration Circuits, Ρ‡Ρ‚ΠΎ ΠΌΠΎΠΆΠ½ΠΎ пСрСвСсти ΠΊΠ°ΠΊ «ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ схСмы систСмного уровня ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Ρ†ΠΈΠΈ»).

Виповая микросхСма FPSLIC Ρ„ΠΈΡ€ΠΌΡ‹ «Atmel» содСрТит скоростноС процСссорноС ядро AVR RISC с ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΡŒΡŽ ΡΠ²Ρ‹ΡˆΠ΅ 30 MIPS, массив FPGA ΠΎΠ±ΡŠΡ‘ΠΌΠΎΠΌ Π΄ΠΎ 4000 эквивалСнтных логичСских Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ, 10 ΠΊΠ±Π°ΠΉΡ‚ x 16 ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠΉ памяти, 4 ΠΊΠ±Π°ΠΉΡ‚ x 8 ΠΎΠΏΠ΅Ρ€Π°Ρ‚ΠΈΠ²Π½ΠΎΠΉ памяти, Π° Ρ‚Π°ΠΊΠΆΠ΅ Π½Π°Π±ΠΎΡ€ фиксированных ΠΏΠ΅Ρ€ΠΈΡ„Π΅Ρ€ΠΈΠΉΠ½Ρ‹Ρ… устройств (Π΄Π²Π° ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΏΠΎΡ€Ρ‚Π°, Ρ‚Ρ€ΠΈ Ρ‚Π°ΠΉΠΌΠ΅Ρ€Π°-счётчика, интСрфСйс I2C, Π΄Π²Π° ΠΏΠ°Ρ€Π°Π»Π»Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΏΠΎΡ€Ρ‚Π°). Π’ ΡΠΎΡΡ‚Π°Π² сСмСйства Π½Π° ΡΠ΅Π³ΠΎΠ΄Π½Ρ входят Ρ‚Ρ€ΠΈ микросхСмы Ρ‚ΠΈΠΏΠ° AT94K10, AT94K20, AT94K40 с ΠΎΠ±ΡŠΡ‘ΠΌΠΎΠΌ FPGA Π² 1000, 2000 ΠΈ 4000 Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ соотвСтствСнно. Π’ ΡΠΈΠ»Ρƒ ΠΎΡ‡Π΅Π²ΠΈΠ΄Π½Ρ‹Ρ… достоинств микросхСм этого класса ΠΌΠΎΠΆΠ½ΠΎ ΠΏΡ€Π΅Π΄ΠΏΠΎΠ»ΠΎΠΆΠΈΡ‚ΡŒ, Ρ‡Ρ‚ΠΎ Π‘Π‘Π˜Π‘ класса SOC Π² Π±Π»ΠΈΠΆΠ°ΠΉΡˆΠ΅Π΅ врСмя Π±ΡƒΠ΄ΡƒΡ‚ интСнсивно Ρ€Π°Π·Π²ΠΈΠ²Π°Ρ‚ΡŒΡΡ ΠΈ ΠΏΠΎΠ»ΡƒΡ‡Π°Ρ‚ ΡˆΠΈΡ€ΠΎΠΊΠΎΠ΅ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ Π² Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ схСмотСхникС.

ΠΠ΅ΠΌΠ°Π»ΡƒΡŽ Ρ€ΠΎΠ»ΡŒ Π² Ρ€Π°ΡΡˆΠΈΡ€Π΅Π½ΠΈΠΈ областСй примСнСния ΠŸΠ›Π˜Π‘, сокращСнии Π²Ρ€Π΅ΠΌΠ΅Π½ΠΈ ΠΈ ΡΠ½ΠΈΠΆΠ΅Π½ΠΈΠΈ Ρ‚Ρ€ΡƒΠ΄ΠΎΠ·Π°Ρ‚Ρ€Π°Ρ‚ Π½Π° ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ сыграли ΠΈ Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ успСхи Π² ΡΠΎΠ·Π΄Π°Π½ΠΈΠΈ ΠΈΠ½ΡΡ‚Ρ€ΡƒΠΌΠ΅Π½Ρ‚Π°Π»ΡŒΠ½Ρ‹Ρ… срСдств для Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΈ Π²Ρ‹ΠΏΡƒΡΠΊΠ° ΠΊΠΎΠ½Π΅Ρ‡Π½Ρ‹Ρ… ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ Π½Π° ΠŸΠ›Π˜Π‘, основу ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΡΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‚ ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹Π΅ ΠΏΠ°ΠΊΠ΅Ρ‚Ρ‹ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ, ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‰ΠΈΠ΅ вСсь производствСнный Ρ†ΠΈΠΊΠ» ΠΏΠΎ ΡΠΎΠ·Π΄Π°Π½ΠΈΡŽ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств Π½Π° ΠŸΠ›Π˜Π‘, ΠΎΡ‚ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ схСм Π΄ΠΎ Π²Ρ‹ΠΏΡƒΡΠΊΠ° Π³ΠΎΡ‚ΠΎΠ²Ρ‹Ρ… ΠΈΠ·Π΄Π΅Π»ΠΈΠΉ.

2. ΠžΠ±Π·ΠΎΡ€ Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²

2.1 ΠšΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ LM311 ΠΈ LM339

БСзусловно, сущСствуСт ΠΎΠ³Ρ€ΠΎΠΌΠ½ΠΎΠ΅ количСство ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ², ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π·Π°Ρ‚ΠΎΡ‡Π΅Π½Ρ‹ ΠΏΠΎΠ΄ ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚Π½Ρ‹Π΅ Π½ΡƒΠΆΠ΄Ρ‹. Π’Π°ΠΊΠΆΠ΅ сущСствуСт ΠΌΠ½ΠΎΠ³ΠΎ схСм ΠΈ ΡƒΡΡ‚ройств с ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π°ΠΌΠΈ, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, LM339 (отСчСствСнный Π°Π½Π°Π»ΠΎΠ³ — К1401БА1) ΠΈ LM311 (отСчСствСнный Π°Π½Π°Π»ΠΎΠ³ - КР554БА3), внСшний Π²ΠΈΠ΄ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΌΠΎΠΆΠ½ΠΎ ΡƒΠ²ΠΈΠ΄Π΅Ρ‚ΡŒ Π½Π° Ρ€ΠΈΡΡƒΠ½ΠΊΠ΅ 2.1.

Рисунок 2.1 — Π’Π½Π΅ΡˆΠ½ΠΈΠΉ Π²ΠΈΠ΄ ΠΎΠ΄Π½ΠΎΠΉ ΠΈΠ· ΠΌΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌ UTC339E (LM339)

Π’Π°ΠΊΠΈΠ΅ микросхСмы часто Π²ΡΡ‚Ρ€Π΅Ρ‡Π°ΡŽΡ‚ΡΡ, Π² Ρ‡Π°ΡΡ‚ности, Π½Π° ΡΠΈΡΡ‚Π΅ΠΌΠ½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚Π°Ρ… Π­Π’Πœ, Π° Ρ‚Π°ΠΊΠΆΠ΅ Π² ΡΠΈΡΡ‚Π΅ΠΌΠ°Ρ… управлСния ШИМ ΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² Π² Π±Π»ΠΎΠΊΠ°Ρ… прСобразования напряТСния (Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€ Π² ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π½Ρ‹Ρ… Π±Π»ΠΎΠΊΠ°Ρ… питания с ΡΠΈΡΡ‚Π΅ΠΌΠΎΠΉ питания ATX). Π˜Ρ… ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ Ρ‚Π°ΠΊΠΆΠ΅ Π½Π΅Π²Π΅Π»ΠΈΠΊΠ°: Π² ΠΏΡ€Π΅Π΄Π΅Π»Π°Ρ… ΠΎΠ΄Π½ΠΎΠ³ΠΎ-Π΄Π²ΡƒΡ… Π΄ΠΎΠ»Π»Π°Ρ€ΠΎΠ², ΠΎΠ΄Π½Π°ΠΊΠΎ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ этих ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ² ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Ρ‹ ΠΈ ΠΎΠ½ΠΈ ΠΌΠΎΠ³ΡƒΡ‚ Π±Ρ‹Ρ‚ΡŒ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½Ρ‹ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π² ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Ρ… областях Π»ΠΈΠ±ΠΎ с Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ устройствами. ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, ΠΊΠ°ΠΊ нСслоТно Π·Π°ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ, количСство Π²Ρ…ΠΎΠ΄ΠΎΠ² ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄ΠΎΠ² Ρƒ Π½ΠΈΡ… строго Π·Π°Π΄Π°Π½ΠΎ, Ρƒ Π½ΠΈΡ… Π½Π΅Ρ‚ возмоТности Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°Ρ‚ΡŒ значСния сигналов, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΡΡ€Π°Π²Π½ΠΈΡ‚ΡŒ, скаТСм, числа, ΠΏΠΎΠ΄Π°ΡŽΡ‰ΠΈΠ΅ΡΡ Π² Π΄Π²ΠΎΠΈΡ‡Π½ΠΎΠΌ ΠΊΠΎΠ΄Π΅, Ρƒ Π½ΠΈΡ… Π½Π΅Ρ‚ ΠΌΠ½ΠΎΠ³ΠΈΡ… ΠΏΡ€ΠΎΡΡ‚Π΅ΠΉΡˆΠΈΡ… Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ. Π’Π°ΠΊΠΈΠ΅ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ ΠΌΠΎΠ³ΡƒΡ‚ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΡΡ€Π°Π²Π½ΠΈΠ²Π°Ρ‚ΡŒ нСсколько ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎ ΠΏΠΎΠ΄Π°Π²Π°Π΅ΠΌΡ‹Ρ… Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ напряТСния ΠΈ Π²Ρ‹Π±ΠΈΡ€Π°Ρ‚ΡŒ ΠΈΠ· Π½ΠΈΡ… мСньшСС / большСС.

2.2 ΠšΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ Π½Π° ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Ρ… усилитСлях

Π’Π°ΠΊΠΆΠ΅ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ строятся Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ микросхСм ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ³ΠΎ усилитСля. Π‘Ρ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ микросхСмы Ρ‚Π°ΠΊΠΈΡ… устройств ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Ρ‹ для сопоставлСния Π΄Π²ΡƒΡ… Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Ρ… сигналов ΠΈ ΠΏΡ€Π΅Π΄ΠΎΡΡ‚авлСния ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΎ Π±ΠΎΠ»ΡŒΡˆΠ΅ΠΌ ΠΈΠ· Π½ΠΈΡ…. Π Π°Π·ΠΌΠ΅Ρ€Ρ‹ Ρ‚Π°ΠΊΠΈΡ… схСм — Π΄ΠΎ 5 сантимСтров. Π’Π½Π΅ΡˆΠ½ΠΈΠΉ Π²ΠΈΠ΄ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ² ΠΌΠΎΠΆΠ½ΠΎ ΡƒΠ²ΠΈΠ΄Π΅Ρ‚ΡŒ Π½Π° Ρ€ΠΈΡΡƒΠ½ΠΊΠ΅ 2.2.

Рисунок 2.2. — Π’Π½Π΅ΡˆΠ½ΠΈΠΉ Π²ΠΈΠ΄ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ²

ΠŸΡ€ΠΈΠ½Ρ†ΠΈΠΏ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π΄Π°Π½Π½ΠΎΠΉ микросхСмы основан Π½Π° «ΡƒΠ·Π½Π°Π²Π°Π½ΠΈΠΈ», Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ Π² Ρ‚Π°ΠΊΠΎΠΌ случаС Π²Π°ΠΆΠ½Π° Ρ€Π°Π·Π½ΠΈΡ†Π° характСристики сигналов, Π° Π½Π΅ ΠΏΠΈΠΊΠΎΠ²Ρ‹Π΅ ΡƒΡ€ΠΎΠ²Π½ΠΈ, ΠΎΠ΄Π½Π°ΠΊΠΎ ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹Π΅ всС ΠΆΠ΅ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ ΡƒΡ‡ΠΈΡ‚Ρ‹Π²Π°Ρ‚ΡŒ, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΠΎΠ½ΠΈ Π½Π°Ρ…ΠΎΠ΄ΠΈΠ»ΠΈΡΡŒ Π² ΠΏΡ€Π΅Π΄Π΅Π»Π°Ρ… допустимого уровня для ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚Π½ΠΎΠΉ микросхСмы. Π‘Ρ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Π°Ρ микросхСма построСна ΠΏΠΎ Ρ‚ΠΈΠΏΠΈΡ‡Π½ΠΎΠΌΡƒ ΠΏΠΎΡ€ΠΎΠ³ΠΎΠ²ΠΎΠΌΡƒ ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΡƒ, Ρ‚ΠΎ Π΅ΡΡ‚ΡŒ состояниС схСмы ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° измСняСтся, ΠΊΠΎΠ³Π΄Π° сигнал Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ достигаСт ΠΎΠΏΡ€Π΅Π΄Π΅Π»Π΅Π½Π½ΠΎΠ³ΠΎ уровня. Π”Π°Π½Π½Ρ‹Π΅ микросхСмы ΠΏΡ€ΠΈΠΌΠ΅Π½ΡΡŽΡ‚ΡΡ ΠΊΠ°ΠΊ ΡΠ°ΠΌΠΎΡΡ‚ΠΎΡΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ элСмСнты Π² ΡΡ…Π΅ΠΌΠ°Ρ… Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… рСгуляторов, ΠΈΠ»ΠΈ ΠΊΠ°ΠΊ составная Ρ‡Π°ΡΡ‚ΡŒ Π±ΠΎΠ»Π΅Π΅ слоТной микросхСмы — Ρ‚Π°ΠΉΠΌΠ΅Ρ€, ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‰ΠΈΡ…ΡΡ Π² Π³Π΅Π½Π΅Ρ€Π°Ρ‚ΠΎΡ€Π°Ρ… ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠ² Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… Π²ΠΈΠ΄ΠΎΠ² (см. Ρ€ΠΈΡΡƒΠ½ΠΎΠΊ 2.3.).

Рисунок 2.3 — Π‘Ρ…Π΅ΠΌΠ° ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° Π½Π° ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠΌ усилитСлС (mA710)

Π’ ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° ΠΌΠΎΠΆΠ½ΠΎ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ практичСски любой ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹ΠΉ ΡƒΡΠΈΠ»ΠΈΡ‚Π΅Π»ΡŒ, для Ρ‡Π΅Π³ΠΎ достаточно просто ΠΈΡΠΊΠ»ΡŽΡ‡ΠΈΡ‚ΡŒ ΠΎΠ±Ρ€Π°Ρ‚Π½ΡƒΡŽ связь. Для этих Ρ†Π΅Π»Π΅ΠΉ ΠΆΠ΅Π»Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ ΠΏΠΎΠ΄Π±ΠΈΡ€Π°Ρ‚ΡŒ усилитСли с ΠΌΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΌΠΈ характСристиками ΠΏΠΎ ΡƒΡΠΈΠ»Π΅Π½ΠΈΡŽ ΠΈ Ρ Π±ΠΎΠ»ΡŒΡˆΠΎΠΉ ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒΡŽ нарастания сигнала. Π‘Π»Π΅Π΄ΡƒΠ΅Ρ‚ ΠΎΡ‚ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ, Ρ‡Ρ‚ΠΎ ΠžΠ£ ΠΌΠΎΠΆΠ½ΠΎ ΠΏΡ€Π΅Π²Ρ€Π°Ρ‚ΠΈΡ‚ΡŒ Π² ΡΡ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½ΡƒΡŽ микросхСму, Π° Π²ΠΎΡ‚ Π½Π°ΠΎΠ±ΠΎΡ€ΠΎΡ‚ — Π½Π΅Ρ‚, ΠΏΠΎΡ‚ΠΎΠΌΡƒ ΠΊΠ°ΠΊ Π½Π΅ ΠΌΠΎΠΆΠ΅Ρ‚ Π²Ρ‹ΠΏΠΎΠ»Π½ΡΡ‚ΡŒ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΡŽ усилСния ΠΈΠ»ΠΈ раздСлСния, ΠΎΠ½Π° для этого Π½Π΅ ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π°.

Π§Π°Ρ‰Π΅ всСго Π² ΡΡ…Π΅ΠΌΠΎΡ‚Π΅Ρ…Π½ΠΈΠΊΠ΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ микросхСмы-ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ К554БА3 ΠΈ Πš521БА3. Π­Ρ‚ΠΈ микросхСмы ΠΈΠ΄Π΅Π½Ρ‚ΠΈΡ‡Π½Ρ‹ ΠΏΠΎ ΡΠ²ΠΎΠ΅ΠΉ структурС ΠΈ Ρ…арактСристикам: ΠΈΠΌΠ΅ΡŽΡ‚ ΠΌΠΎΡ‰Π½Ρ‹ΠΉ Π²Ρ‹Ρ…ΠΎΠ΄ (Π΄ΠΎ 50 мА), Π²Ρ‹ΡΠΎΠΊΡƒΡŽ ΡΡ‚Π°Π±ΠΈΠ»ΡŒΠ½ΠΎΡΡ‚ΡŒ ΠΈ Π½ΠΈΠ·ΠΊΡƒΡŽ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ. Благодаря Ρ‚ΠΎΠΌΡƒ, Ρ‡Ρ‚ΠΎ Π² ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½ΡƒΡŽ схСму ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ транзистор с ΠΎΡ‚ΠΊΡ€Ρ‹Ρ‚Ρ‹ΠΌ ΠΊΠΎΠ»Π»Π΅ΠΊΡ‚ΠΎΡ€ΠΎΠΌ ΠΈ ΡΠΌΠΈΡ‚Ρ‚Π΅Ρ€ΠΎΠΌ, микросхСму ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Ρ‚ΡŒ Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ Π½Π΅ΠΎΠ±Ρ…одимости Π»ΠΈΠ±ΠΎ с ΠΎΠ±Ρ‰ΠΈΠΌ эмиттСром, Π»ΠΈΠ±ΠΎ с ΠΎΠ±Ρ‰ΠΈΠΌ ΠΊΠΎΠ»Π»Π΅ΠΊΡ‚ΠΎΡ€ΠΎΠΌ. НапримСр, Ссли трСбуСтся ΡΠΎΠ³Π»Π°ΡΠΎΠ²Π°Ρ‚ΡŒ Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠΉ ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ с ΡƒΡ€ΠΎΠ²Π½Π΅ΠΌ Π΄Ρ€ΡƒΠ³ΠΈΡ… логичСских микросхСм, ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ΡΡ ΠΏΠΎ ΡΡ…Π΅ΠΌΠ΅ с ΠΎΡ‚ΠΊΡ€Ρ‹Ρ‚Ρ‹ΠΌ ΠΊΠΎΠ»Π»Π΅ΠΊΡ‚ΠΎΡ€ΠΎΠΌ.

Π‘ΠΎΠ»ΡŒΡˆΠΈΠ½ΡΡ‚Π²ΠΎ ΡΡ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… микросхСм рассчитано Π½Π° ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΏΡ€ΠΈ двухполярном напряТСнии питания ±15 Π’, Π½ΠΎ Ρ‚Π°ΠΊ ΠΆΠ΅ ΠΌΠΎΠ³ΡƒΡ‚ Ρ€Π°Π±ΠΎΡ‚Π°Ρ‚ΡŒ ΠΈ ΠΏΡ€ΠΈ однополярном ΠΎΡ‚ 5 Π΄ΠΎ 15 Π’. Π‘ΠΎΠ»ΡŒΡˆΠΈΠ½ΡΡ‚Π²ΠΎ ΡΡ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… микросхСм Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‚ достаточно эффСктивно, Π½ΠΎ Π² Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ситуациях, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€ ΠΏΡ€ΠΈ ΠΏΠΎΠ΄Π°Ρ‡Π΅ Π½Π° Π²Ρ…ΠΎΠ΄ ΠΌΠ΅Π΄Π»Π΅Π½Π½ΠΎ ΠΈΠ·ΠΌΠ΅Π½ΡΡŽΡ‰Π΅Π³ΠΎΡΡ сигнала с Π½Π΅Π±ΠΎΠ»ΡŒΡˆΠΈΠΌ высокочастотным ΠΈΠΌΠΏΡƒΠ»ΡŒΡΠΎΠΌ, появляСтся спСцифичСский ΡˆΡƒΠΌ, Ρ‚Π°ΠΊ Π½Π°Π·Ρ‹Π²Π°Π΅ΠΌΠΎΠ΅ Π΄Ρ€Π΅Π±Π΅Π·ΠΆΠ°Π½ΠΈΠ΅. Π”Ρ€Π΅Π±Π΅Π·Π³ Π²ΠΎΠ·Π½ΠΈΠΊΠ°Π΅Ρ‚ Π² Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π΅ мноТСствСнных ΠΏΠ΅Ρ€Π΅ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠΉ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° Π½Π° Π³Ρ€Π°Π½ΠΈΡ†Π΅ ΠΏΠΎΡ€ΠΎΠ³ΠΎΠ²ΠΎΠ³ΠΎ уровня. Π§Ρ‚ΠΎΠ±Ρ‹ ΡƒΡΡ‚Ρ€Π°Π½ΠΈΡ‚ΡŒ Π΄Ρ€Π΅Π±Π΅Π·ΠΆΠ°Π½ΠΈΠ΅, ΠΌΠΎΠΆΠ½ΠΎ Π΅Π³ΠΎ ΡƒΡΡ‚Π°Π½Π°Π²Π»ΠΈΠ²Π°Ρ‚ΡŒ ΠΌΠ΅ΠΆΠ΄Ρƒ Π²Ρ‹Ρ…ΠΎΠ΄Π°ΠΌΠΈ ΠΌΠ°Π»ΠΎΠΉ Смкости (ΠΎΡ‚ 10 Π΄ΠΎ 1000 ΠΏΠ€), Π»ΠΈΠ±ΠΎ с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ разнСсСния ΠΏΠΎΡ€ΠΎΠ³ΠΎΠ². ΠŸΠ΅Ρ€Π²Ρ‹ΠΉ способ Π½Π΅ Π²ΡΠ΅Π³Π΄Π° ΠΏΠΎΠ΄Ρ…ΠΎΠ΄ΠΈΡ‚, ΠΏΠΎΡ‚ΠΎΠΌΡƒ Ρ‡Ρ‚ΠΎ ΠΈΠ·-Π·Π° Смкости сущСствСнно ΠΏΠ°Π΄Π°Π΅Ρ‚ быстродСйствиС микросхСмы. Π’Ρ‚ΠΎΡ€ΠΎΠΉ способ состоит Π² ΡƒΡΡ‚Π°Π½ΠΎΠ²ΠΊΠ΅ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… ΠΏΠΎΡ€ΠΎΠ³ΠΎΠ²Ρ‹Ρ… напряТСний Π½Π° Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ ΠΈ Π²Ρ‹ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, ΠΊΠΎΠ³Π΄Π° микросхСма срабатываСт ΠΏΡ€ΠΈ Π±ΠΎΠ»Π΅Π΅ высоком напряТСнии. ΠŸΠΎΠ΄ΠΎΠ±Π½Ρ‹ΠΉ ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏ разнСсСния ΠΏΠΎΡ€ΠΎΠ³ΠΎΠ² (гистСрСзис) ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ Π² Ρ„ΠΎΡ€ΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚Π΅Π»Π΅ сигнала Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π΅ Π¨ΠΌΠΈΡ‚Ρ‚Π° (см. Ρ€ΠΈΡΡƒΠ½ΠΎΠΊ 2.4.).

Рисунок 2.4 — Π’Π²Π΅Π΄Π΅Π½ΠΈΠ΅ гистСрСзиса Π² ΡΡ‡Π΅Ρ‚ ΠΏΠΎΠ»ΠΎΠΆΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΉ ΠΎΠ±Ρ€Π°Ρ‚Π½ΠΎΠΉ связи

ГистСрСзис Π² ΡΡ…Π΅ΠΌΡƒ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° вводится ΠΏΡƒΡ‚Π΅ΠΌ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ Ρ‚Ρ€Π΅Ρ… транзисторов: Ρ‚Π°ΠΊ ΠΊΠ°ΠΊ Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄Π΅ напряТСниС постоянно колСблСтся рСзистор (R3) сдвигаСт ΠΏΠΎΡ‚Π΅Π½Ρ†ΠΈΠ°Π» Π½Π΅ΠΈΠ½Π²Π΅Ρ€Ρ‚ΠΈΡ€ΡƒΡŽΡ‰Π΅Π³ΠΎ Π²Ρ‹Π²ΠΎΠ΄Π° Ρ‚ΠΎ Π² Π½Π°ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠΈ рСзистора (R1), Ρ‚ΠΎ Π² Π½Π°ΠΏΡ€Π°Π²Π»Π΅Π½ΠΈΠΈ рСзистора (R2). Π’Π°ΠΊΠΈΠΌ ΠΎΠ±Ρ€Π°Π·ΠΎΠΌ, создаСтся Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ Ρ‚ΠΎΠΊ, Ρ‡Ρ‚ΠΎ Π² ΡΠ²ΠΎΡŽ ΠΎΡ‡Π΅Ρ€Π΅Π΄ΡŒ ΠΏΡ€ΠΈΠ²ΠΎΠ΄ΠΈΡ‚ ΠΊ ΠΏΠ°Π΄Π΅Π½ΠΈΡŽ напряТСния. Π—Π°ΠΌΠ΅Ρ‚ΠΈΠΌ, Ρ‡Ρ‚ΠΎ схСма ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ Π½Π° Ρ‚ранзисторах сущСствСнно влияСт Π½Π° ΡƒΠ²Π΅Π»ΠΈΡ‡Π΅Π½ΠΈΡ быстродСйствия срабатывания.

2.3 ΠšΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ сСрии Π 300Π₯

Π’Π°ΠΊΠΆΠ΅, Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π°, ΠΌΠΎΠΆΠ½ΠΎ привСсти ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ напряТСний P3003, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ всё Π΅Ρ‰Π΅ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ Π² Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… лабораториях ΠΈ Π΄Π°ΠΆΠ΅ производствах стран БНГ ΠΈ ΠΠ·ΠΈΠΈ (Индия, Монголия, ΠŸΠ°ΠΊΠΈΡΡ‚Π°Π½). ΠšΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ напряТСний Π 3003, Π 3003М1-1, Π 3003М1-2 Π±Ρ‹Π»ΠΈ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Π½ ΠΈ Π²Ρ‹ΠΏΡƒΡΠΊΠ°Π»ΠΈΡΡŒ ΠŸΠž «ΠšΡ€Π°ΡΠ½ΠΎΠ΄Π°Ρ€ΡΠΊΠΈΠΉ Π—Π˜ΠŸ» Π΄ΠΎ ΠΊΠΎΠ½Ρ†Π° Π΄Π²Π°Π΄Ρ†Π°Ρ‚ΡŒ ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ Π²Π΅ΠΊΠ°. Π’ Π½Π°ΡΡ‚оящСС врСмя выпуск Π 3003, Π 3003М1-1, Π 3003М1-2 ΠΏΡ€Π΅ΠΊΡ€Π°Ρ‰Π΅Π½, ΠΎΠ΄Π½Π°ΠΊΠΎ ΠΈΡ… Π΅Ρ‰Π΅ повсСмСстно ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ Π² ΠΌΠ΅Ρ‚Ρ€ΠΎΠ»ΠΎΠ³ΠΈΠΈ ΠΈ ΡΠ»Π΅ΠΊΡ‚Ρ€ΠΎΡ‚Π΅Ρ…Π½ΠΈΠΊΠ΅ (см. Ρ€ΠΈΡΡƒΠ½ΠΎΠΊ 2.5).

Рисунок 2.5 — Π’Π½Π΅ΡˆΠ½ΠΈΠΉ Π²ΠΈΠ΄ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° P3003

Π­Ρ‚ΠΎΡ‚ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ прСдназначаСтся для:

— ΠΊΠΎΠΌΠΏΠ°Ρ€ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΡ ΠΈ ΠΈΠ·ΠΌΠ΅Ρ€Π΅Π½ΠΈΡ напряТСния постоянного Ρ‚ΠΎΠΊΠ°;

— Π²Ρ‹Π΄Π°Ρ‡ΠΈ ΠΊΠ°Π»ΠΈΠ±Ρ€ΠΎΠ²Π°Π½Π½Ρ‹Ρ… напряТСний ΠΎΡ‚ 10 Π½Π’ Π΄ΠΎ 11,111 110 Π’;

— ΡƒΡΠΈΠ»Π΅Π½ΠΈΡ напряТСния ΠΎΡ‚ 20 Π½Π’ Π΄ΠΎ 10 Π’ с Π²Ρ‹Ρ…ΠΎΠ΄Π°ΠΌΠΈ Π½Π° Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ ΠΈ Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹ΠΉ ΠΏΡ€ΠΈΠ±ΠΎΡ€Ρ‹ (10 Π’, 5 мА);

— ΠΏΠΈΡ‚ания ΠΏΡ€Π΅Ρ†ΠΈΠ·ΠΈΠΎΠ½Π½Ρ‹Ρ… элСктричСских Ρ†Π΅ΠΏΠ΅ΠΉ (10 Π’, 10-25 мА).

ΠŸΡ€ΠΈ ΠΊΠΎΠΌΠΏΠ°Ρ€ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ ΠΈ ΠΈΠ·ΠΌΠ΅Ρ€Π΅Π½ΠΈΠΈ напряТСния совмСстно Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½ΠΈΡ€ΡƒΡŽΡ‚ встроСнный ΠΊΠ°Π»ΠΈΠ±Ρ€Π°Ρ‚ΠΎΡ€, Π΄ΠΈΡ„Ρ„Π΅Ρ€Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹ΠΉ ΠΈΠ·ΠΌΠ΅Ρ€ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ ΡƒΡΠΈΠ»ΠΈΡ‚Π΅Π»ΡŒ, Π΄ΠΈΡ„Ρ„Π΅Ρ€Π΅Π½Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹ΠΉ ΠΌΠΈΠΊΡ€ΠΎΠ²ΠΎΠ»ΡŒΡ‚ΠΌΠ΅Ρ‚Ρ€ ΠΈ Π΄Π΅Π»ΠΈΡ‚Π΅Π»ΡŒ Π²Ρ…ΠΎΠ΄Π½ΠΎΠ³ΠΎ напряТСния — Π²Π΅Ρ‰ΠΈ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ довольно просто ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‚ΡΡ ΠΈ ΠΊ Π»ΡŽΠ±ΠΎΠΌΡƒ Π΄Ρ€ΡƒΠ³ΠΎΠΌΡƒ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρƒ практичСски Π±Π΅Π· Π·Π°Ρ‚Ρ€Π°Ρ‚. Но ΠΏΠΎΡΠΌΠΎΡ‚Ρ€ΠΈΠΌ Π½Π° Ρ…арактСристики этого Ρ‡ΡƒΠ΄ΠΎ-ΠΏΡ€ΠΈΠ±ΠΎΡ€Π°:

Класс точности: 0,0005 (для сравнСния, Ρƒ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ² Ρ‚ΠΎΡ‡Π½ΠΎΡΡ‚ΡŒ практичСски ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Π° Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Ρ‚ΠΎΡ‡Π½ΠΎΡΡ‚ΡŒΡŽ Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… сигналов).

ΠŸΡ€Π΅Π΄Π΅Π» допускаСмой основной ΠΏΠΎΠ³Ρ€Π΅ΡˆΠ½ΠΎΡΡ‚ΠΈ компарирования для Ρ€Π°Π·Π½Ρ‹Ρ… Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ напряТСния, равняСтся, ΠΌΠΊΠ’:

±(5U+1) (U=11,111 110 D);

±(5U+0,1) (U=1,1 111 110);

±(10U+0,04) (U=0,1 111 110);

±(50U+4) (U=111,11 110);

Нагрузочная ΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ ΠΏΠΎ Π²Ρ‹Ρ…ΠΎΠ΄Ρƒ ΠΠ’: 10 Π’; 5 мА

ΠŸΠΈΡ‚Π°Π½ΠΈΠ΅ ΠΎΡ‚ ΡΠ΅Ρ‚ΠΈ ΠΏΠ΅Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠ³ΠΎ Ρ‚ΠΎΠΊΠ°: 220±22 Π’; 50, 60 Π“Ρ†

Масса: 13 ΠΊΠ³ (Π² Ρ‚ΠΎ Π²Ρ€Π΅ΠΌΡ ΠΊΠ°ΠΊ масса Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… ΠΈ Π΄Π°ΠΆΠ΅ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠ² Π½Π΅ ΠΏΡ€Π΅Π²Ρ‹ΡˆΠ°Π΅Ρ‚ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… Π³Ρ€Π°ΠΌΠΌ).

Π“Π°Π±Π°Ρ€ΠΈΡ‚Ρ‹: 488×170×380 ΠΌΠΌ (Π³Π°Π±Π°Ρ€ΠΈΡ‚Ρ‹ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ΠΎΠ² Π½Π΅ ΠΏΡ€Π΅Π²Ρ‹ΡˆΠ°ΡŽΡ‚ 10x2x5 ΠΌΠΌ).

Π¦Π΅Π½Π° Ρ‚Π°ΠΊΠΎΠ³ΠΎ ΠΏΡ€ΠΈΠ±ΠΎΡ€Π° колСблСтся Π² Ρ€Π°ΠΉΠΎΠ½Π΅ 24 000 Ρ€ΡƒΠ±Π»Π΅ΠΉ, Ρ‚. Π΅. 850 Π΄ΠΎΠ»Π»Π°Ρ€ΠΎΠ². Π’Π΅ΠΌ Π½Π΅ ΠΌΠ΅Π½Π΅Π΅, ΠΊΠ°ΠΊ ΠΌΡ‹ ΡƒΠ±Π΅Π΄ΠΈΠ»ΠΈΡΡŒ, возмоТностСй Ρƒ Π 3003 Π΄Π΅ΠΉΡΡ‚Π²ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ Π½Π΅ΠΌΠ½ΠΎΠ³ΠΎ. На Π±Π°Π·Π΅ ΠŸΠ›Π˜Π‘, ΠΊ ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΌ ΠΌΡ‹ ΠΏΡ€ΠΈΠ΄Π΅ΠΌ ΠΏΠΎΠ·ΠΆΠ΅, ΠΌΠΎΠΆΠ½ΠΎ ΡΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ устройство Π² ΡΠΎΡ‚Π½ΠΈ тысяч Ρ€Π°Π· Π±ΠΎΠ»Π΅Π΅ слоТноС, Π° Π΅Π³ΠΎ ΡΠ΅Π±Π΅ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ (ΠΈΡΠΊΠ»ΡŽΡ‡Π°Ρ ΠΎΠΏΠ»Π°Ρ‚Ρƒ Ρ‚Ρ€ΡƒΠ΄Π° программиста) Π±ΡƒΠ΄Π΅Ρ‚ Π² Π²ΠΎΡΠ΅ΠΌΡŒ Ρ€Π°Π· мСньшС.

2.4 Π‘Ρ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ устройства, создаваСмыС ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΌΠΈ ΠΌΠ΅Ρ‚ΠΎΠ΄Π°ΠΌΠΈ

Π‘ΠΎΠ·Π΄Π°Ρ‚ΡŒ Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€, ΠΎΡ‚Π²Π΅Ρ‡Π°ΡŽΡ‰ΠΈΠΉ трСбованиям ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚Π½ΠΎΠ³ΠΎ производства, ΠΏΡ€ΠΎΡ‰Π΅ всСго ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΌ ΠΏΡƒΡ‚Π΅ΠΌ. Π­Ρ‚ΠΎ ΠΏΠΎΠ·Π²ΠΎΠ»ΠΈΡ‚ Π·Π°Π΄Π°Ρ‚ΡŒ Π΅ΠΌΡƒ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡ‹Π΅ характСристики, Π° Ρ‚Π°ΠΊΠΆΠ΅, ΠΏΡ€ΠΈ нСобходимости, ΠΎΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ Π±Π΅Π·Π±ΠΎΠ»Π΅Π·Π½Π΅Π½Π½ΠΎ ΠΈΠ·ΠΌΠ΅Π½ΠΈΡ‚ΡŒ ΠΈΡ…, слСгка ΠΏΠΎΠ΄ΠΊΠΎΡ€Ρ€Π΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ. Π”Π°Π»Π΅Π΅ Ρ€Π°ΡΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°ΡŽΡ‚ΡΡ Π΄Π²Π° Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ распространСнных Π²Π°Ρ€ΠΈΠ°Π½Ρ‚Π° создания Ρ‚Π°ΠΊΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π°.

Π‘Ρ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠ΅ устройство Π½Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π΅

ВсС рассмотрСнныС ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹ (ΠΊΡ€ΠΎΠΌΠ΅ Π 3003 ΠΈ Π΅Π³ΠΎ Π°Π½Π°Π»ΠΎΠ³ΠΎΠ²) ΡΠ²Π»ΡΡŽΡ‚ΡΡ Π½Π΅Π΄ΠΎΡ€ΠΎΠ³ΠΈΠΌΠΈ, ΠΎΠ΄Π½Π°ΠΊΠΎ ΡƒΠ·ΠΊΠΈΠΌΠΈ Π² ΡΠ²ΠΎΠ΅ΠΉ спСциализации, Π° ΠΊΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ соврСмСнныС срСдства ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ самому ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ устройство ΠΏΠΎΠ΄ свои ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚Π½Ρ‹Π΅ Π½ΡƒΠΆΠ΄Ρ‹. НапримСр, ΡΠ΄Π΅Π»Π°Ρ‚ΡŒ Π½Π° ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π΅ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ ΠΏΠΎΠ΄ Π·Π°Π΄Π°Π½Π½ΠΎΠ΅ количСство Π²Ρ…ΠΎΠ΄ΠΎΠ² довольно просто ΠΌΠΎΠΆΠ½ΠΎ Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ΅ CodeVisionAVR. НапримСр, напишСм ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ, которая Π±ΡƒΠ΄Π΅Ρ‚ Π²Ρ‹Π²ΠΎΠ΄ΠΈΡ‚ΡŒ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΡŽ ΠΎ ΡΡ€Π°Π²Π½Π΅Π½ΠΈΠΈ Π·Π½Π°Ρ‡Π΅Π½ΠΈΠΉ напряТСния Π½Π° Π΄Π²ΡƒΡ… Π½ΠΎΠΆΠΊΠ°Ρ… Ρ€Π°Π·Π½Ρ‹Ρ… ΠΏΠΎΡ€Ρ‚ΠΎΠ² Π½Π° ΠΆΠΈΠ΄ΠΊΠΎΠΊΡ€ΠΈΡΡ‚алличСский дисплСй (дальнСйший ΠΊΠΎΠ΄ написан для ΠΏΡ€ΠΈΠΌΠ΅Ρ€Π° ΠΈ, Π²ΠΏΠΎΠ»Π½Π΅ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎ, Ρ‚Ρ€Π΅Π±ΡƒΠ΅Ρ‚ Π΄ΠΎΡ€Π°Π±ΠΎΡ‚ΠΎΠΊ):

/*****************************************************

Project: COMPARATOR Author: Levichev A.A. Chip type: ATmega16

*****************************************************/

#include

#include

#include

#asm

equ __lcd_port=0×15; PORTC

#endasm

#include

void main (void) {

lcd_init (16); //inizializacya LCD

lcd_gotoxy (0,0); lcd_putsf («Razrabotal:»);

delay_ms (500);

lcd_gotoxy (0,1); lcd_putsf («Levichev Artem»);

delay_ms (2000); lcd_clear (); lcd_gotoxy (0,0); delay_ms (2000);

if (PORTA.5>PORTB.5) {sprintf (lcd_buffer, «ΠΠ° ΠΏΡΡ‚ΡƒΡŽ Π½ΠΎΠΆΠΊΡƒ ΠΏΠΎΡ€Ρ‚Π°, А ΠΏΠΎΠ΄Π°Π΅Ρ‚ся большСС напряТСниС, Ρ‡Π΅ΠΌ Π½Π° ΠΏΡΡ‚ΡƒΡŽ Π½ΠΎΠΆΠΊΡƒ ΠΏΠΎΡ€Ρ‚Π° B»);

delay_ms (2000); lcd_clear (); lcd_gotoxy (0,0);}

if (PORTA.5

delay_ms (2000); lcd_clear (); lcd_gotoxy (0,0);}

if (PORTA.5=PORTB.5) {sprintf (lcd_buffer, «ΠΠ° ΠΏΡΡ‚ΡƒΡŽ Π½ΠΎΠΆΠΊΡƒ ΠΏΠΎΡ€Ρ‚Π°, А ΠΏΠΎΠ΄Π°Π΅Ρ‚ся Ρ‚Π°ΠΊΠΎΠ΅ ΠΆΠ΅ напряТСниС, ΠΊΠ°ΠΊ Π½Π° ΠΏΡΡ‚ΡƒΡŽ Π½ΠΎΠΆΠΊΡƒ ΠΏΠΎΡ€Ρ‚Π° B»);

delay_ms (2000); lcd_clear (); lcd_gotoxy (0,0);} lcd_clear ();};}

Π›ΠΈΠ±ΠΎ Π±Π΅Π· LCD, чистый ΠΊΠΎΠ΄:

if (PORTA.1>PORTB.1) {PORTC=0b11111111}; // Π½Π° ΠΏΠΎΡ€Ρ‚Π΅ C — Π·Π½Π°Ρ‡Π΅Π½ΠΈΠ΅ «255» Π² Π΄Π²ΠΎΠΈΡ‡Π½ΠΎΠΌ ΠΊΠΎΠ΄Π΅

if (PORTA.1

if (PORTA.1=PORTB.1) {PORTC=0b00000000}; // Π²Ρ‹Ρ…ΠΎΠ΄ (ΠΏΠΎΡ€Ρ‚ Π‘) Ρ€Π°Π²Π΅Π½ 0.

Π‘Π΅Π±Π΅ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ Ρ‚Π°ΠΊΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° (ΠΈΠ»ΠΈ Π΄Ρ€ΡƒΠ³ΠΎΠ³ΠΎ, ΠΎΠΏΡ‚ΠΈΠΌΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ) Π±ΡƒΠ΄Π΅Ρ‚, Π·Π° ΠΈΡΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ΠΌ ΠΎΠΏΠ»Π°Ρ‚Ρ‹ Ρ‚Ρ€ΡƒΠ΄Π° программиста, Ρ€Π°Π²Π½ΡΡ‚ΡŒΡΡ стоимости ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π°, Ρ‚. Π΅., для ATMega16 — Π² ΠΏΡ€Π΅Π΄Π΅Π»Π°Ρ… 4 $. Однако Π΄Π°ΠΆΠ΅ созданныС ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎ, Π·Π°Ρ‚ΠΎΡ‡Π΅Π½Π½Ρ‹Π΅ ΠΏΠΎΠ΄ ΠΊΠΎΠ½ΠΊΡ€Π΅Ρ‚Π½Ρ‹Π΅ Π½ΡƒΠΆΠ΄Ρ‹ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Ρ‹, Π² ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π±ΡƒΠ΄ΡƒΡ‚ Π·Π°Π»ΠΎΠΆΠ΅Π½Ρ‹ (Ссли Ρ€Π°ΡΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°Ρ‚ΡŒ ΠΏΡ€ΠΈΠΌΠ΅Ρ€ Π°Ρ‚ΠΎΠΌΠ½ΠΎΠΉ станции) Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ контроля ΠΈ ΠΎΡ‚ΠΊΠ°Π·ΠΎΡƒΡΡ‚ΠΎΠΉΡ‡ΠΈΠ²ΠΎΡΡ‚ΡŒ, Π² Π»ΡŽΠ±ΠΎΠΌ случаС Π±ΡƒΠ΄ΡƒΡ‚ ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Ρ‹ возмоТностями ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€Π° — ΠΊΠ°ΠΊ ΠΏΠΎ Π½Π°ΠΏΡ€ΡΠΆΠ΅Π½ΠΈΡŽ, Ρ‚Π°ΠΊ ΠΈ ΠΏΠΎ ΠΊΠΎΠ»ΠΈΡ‡Π΅ΡΡ‚Π²Ρƒ Π½ΠΎΠΆΠ΅ΠΊ (сравниваСмых ΠΎΠ΄Π½ΠΎΠ²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎ сигналов). Однако ΠΌΠΎΠΆΠ½ΠΎ ΡΠΎΠ·Π΄Π°Π²Π°Ρ‚ΡŒ Π΅Ρ‰Π΅ Π±ΠΎΠ»Π΅Π΅ слоТныС ΠΈ, соотвСтствСнно, Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Π΅ устройства сравнСния.

Π‘Ρ€Π°Π²Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ устройства Π½Π° ΠŸΠ›Π˜Π‘ ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Π΅ логичСскиС ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Π΅ схСмы ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΡΠΎΠ·Π΄Π°Π²Π°Ρ‚ΡŒ устройства практичСски Π½Π΅ΠΎΠ³Ρ€Π°Π½ΠΈΡ‡Π΅Π½Π½ΠΎΠΉ слоТности, с ΠΎΠ³Ρ€ΠΎΠΌΠ½Ρ‹ΠΌ количСством Π²Ρ…ΠΎΠ΄ΠΎΠ² ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄ΠΎΠ² (Π±Ρ‹Π²Π°ΡŽΡ‚ плис с Π±ΠΎΠ»ΡŒΡˆΠ΅ Ρ‡Π΅ΠΌ 1000 Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² («ΠΏΠΈΠ½ΠΎΠ²)), с ΠΎΠ³Ρ€ΠΎΠΌΠ½ΠΎΠΉ ΡΠΊΠΎΡ€ΠΎΡΡ‚ΡŒΡŽ ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ Π΄Π°Π½Π½Ρ‹Ρ…, с Π±ΠΎΠ»ΡŒΡˆΠΈΠΌΠΈ значСниями Π²Ρ…ΠΎΠ΄Π½Ρ‹Ρ… напряТСний, большим объСмом памяти (Π΄ΠΎ 540 ΠΊΠ±ΠΈΡ‚), Π΄ΠΎΠΏΡƒΡΡ‚ΠΈΠΌΡƒΡŽ Π΄ΠΎΠ·Ρƒ облучСния (Ρ‡Ρ‚ΠΎ Π½Π΅ΠΌΠ°Π»ΠΎΠ²Π°ΠΆΠ½ΠΎ ΠΏΡ€ΠΈ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠΈ Π½Π° Π°Ρ‚ΠΎΠΌΠ½Ρ‹Ρ… станциях, Π³Π΄Π΅, Π² ΡΠ»ΡƒΡ‡Π°Π΅ возникновСния опасности, элСктроника быстро Π²Ρ‹Ρ…ΠΎΠ΄ΠΈΡ‚ ΠΈΠ· ΡΡ‚роя ΠΈ ΡΠΏΠ°ΡΡ‚ΠΈ ΡΠΈΡ‚ΡƒΠ°Ρ†ΠΈΡŽ ΠΌΠΎΠΆΠ΅Ρ‚ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ какая-Π½ΠΈΠ±ΡƒΠ΄ΡŒ устойчивая схСмка, Π²Ρ€ΠΎΠ΄Π΅ нашСго ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π°, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ ΠΏΠΎΠΉΠΌΠ΅Ρ‚, Ρ‡Ρ‚ΠΎ допустимоС напряТСниС ΠΏΡ€Π΅Π²Ρ‹ΡˆΠ΅Π½ΠΎ) — Π±ΠΎΠ»Π΅Π΅ Ρ‚Ρ€Π΅Ρ… ΠΌΠΈΠ»Π»ΠΈΠΎΠ½ΠΎΠ² (3 000 000) РАД (Π² Ρ‚ΠΎ Π²Ρ€Π΅ΠΌΡ, ΠΊΠ°ΠΊ Π»Π΅Ρ‚Π°Π»ΡŒΠ½Π°Ρ Π΄ΠΎΠ·Π° для Ρ‡Π΅Π»ΠΎΠ²Π΅ΠΊΠ°, ΠΏΡ€ΠΈ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ ΡΠΌΠ΅Ρ€Ρ‚ΡŒ наступаСт Ρ‡Π΅Ρ€Π΅Π· нСсколько часов — 10 000 РАД, Π° ΠΏΠ΅Ρ€Π²ΠΈΡ‡Π½Π°Ρ лучСвая болСзнь — 200 РАД), высокоС быстродСйствиС (Π²ΠΏΠ»ΠΎΡ‚ΡŒ Π΄ΠΎ 5 наносСкунд) ΠΈ ΠΌΠ½ΠΎΠ³ΠΎΠ΅ Π΄Ρ€ΡƒΠ³ΠΎΠ΅.

ΠŸΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ Π½Π° ΠŸΠ›Π˜Π‘ ΠΌΠΎΠΆΠ½ΠΎ ΠΎΡΡƒΡ‰Π΅ΡΡ‚Π²Π»ΡΡ‚ΡŒ схСмотСхничСским ΠΌΠ΅Ρ‚ΠΎΠ΄ΠΎΠΌ, Ρ‚ΠΎΠ³Π΄Π° простой ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€, Π²Ρ‹ΡΡΠ½ΡΡŽΡ‰ΠΈΠΉ, большС, мСньшС ΠΈΠ»ΠΈ Ρ€Π°Π²Π΅Π½ сигнал, ΠΏΡ€ΠΈΡˆΠ΅Π΄ΡˆΠΈΠΉ с А, сигналу с B Π±ΡƒΠ΄Π΅Ρ‚ Π²Ρ‹Π³Π»ΡΠ΄Π΅Ρ‚ΡŒ Ρ‚Π°ΠΊ, ΠΊΠ°ΠΊ ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΠ΅Π½ΠΎ Π½Π° Ρ€ΠΈΡΡƒΠ½ΠΊΠ΅ 2.6.

Рисунок 2.6 — ΠŸΡ€ΠΎΡΡ‚ΠΎΠΉ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ Π² ΡΡ€Π΅Π΄Π΅ MAX+plus II

НСслоТно Π·Π°ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ, Ρ‡Ρ‚ΠΎ схСма Π΄Π°ΠΆΠ΅ самого простого ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° Π½Π΅ Ρ‚Π°ΠΊ Π»Π΅Π³ΠΊΠ° ΠΈ Ρ‡ΠΈΡ‚Π°Π΅ΠΌΠ°, ΠΊΠ°ΠΊ Ρ‚ΠΎΠ³ΠΎ Π±Ρ‹ Ρ…ΠΎΡ‚Π΅Π»ΠΎΡΡŒ. Π’ Quartus ΠΈ Π΄Π°ΠΆΠ΅ Max+plus (Ссли ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Π΅ΠΌΡ‹Π΅ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ) ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΡΡ‚Π°Π²ΠΈΡ‚ΡŒ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹ΠΌ Π±Π»ΠΎΠΊΠΎΠΌ, ΠΎΠ΄Π½Π°ΠΊΠΎ это Π±ΡƒΠ΄Π΅Ρ‚ Ρ‚ΠΎΡ‚ ΠΆΠ΅ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ ΠΌΡ‹ Ρ€Π°ΡΡΠΌΠ°Ρ‚Ρ€ΠΈΠ²Π°Π»ΠΈ Ρ€Π°Π½Π΅Π΅: уровня LM311 ΠΈΠ»ΠΈ ΠΏΠΎΡ…ΠΎΠΆΠ΅Π³ΠΎ. ΠŸΡ€ΠΈ ΡƒΠ²Π΅Π»ΠΈΡ‡Π΅Π½ΠΈΠΈ количСства Π²Ρ…ΠΎΠ΄ΠΎΠ² схСма Π±ΡƒΠ΄Π΅Ρ‚ быстро ΡƒΡΠ»ΠΎΠΆΠ½ΡΡ‚ΡŒΡΡ. Π’Π°ΠΊΠΆΠ΅ сущСствСнным минусом являСтся Ρ‚ΠΎ, Ρ‡Ρ‚ΠΎ Π² Ρ‚Π΅Π»Π΅ Ρ‚Π°ΠΊΠΎΠΉ схСмы-ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ нСльзя ΠΎΡΡ‚Π°Π²Π»ΡΡ‚ΡŒ ΠΊΠΎΠΌΠΌΠ΅Π½Ρ‚Π°Ρ€ΠΈΠΈ, Ρ‡Ρ‚ΠΎ Π΅Ρ‰Π΅ большС затрудняСт ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ слоТных устройств. К ΡΡ‡Π°ΡΡ‚ΡŒΡŽ, Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Ρ… срСдах Ρ„ΠΈΡ€ΠΌΡ‹ Altera сущСствуСт Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ ΡΠΎΠ·Π΄Π°Ρ‚ΡŒ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎΠ΅ устройство Π½Π° ΠΎΠ΄Π½ΠΎΠΌ ΠΈΠ· ΡΠ·Ρ‹ΠΊΠΎΠ² программирования Hardware Description Language: VHDL ΠΈΠ»ΠΈ Verilog HDL. Π’ΠΎΡ‚ ΠΊΠ°ΠΊ выглядит Ρ‚ΠΎΡ‚ ΠΆΠ΅ самый ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€, написанный Π½Π° ΡΠ·Ρ‹ΠΊΠ΅ VHDL:

;

— n-bit Comparator (ESD book figure 2.5)

— by Levichev Artem, 03/2011

— this simple comparator has two n-bit inputs &

— three 1-bit outputs

;

library ieee;

use ieee. std_logic_1164.all;

;

entity Comparator is

generic (n: natural:=2); - описаниС ΠΏΠΎΡ€Ρ‚ΠΎΠ² Π²Ρ…ΠΎΠ΄Π° ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄Π°

port (A: in std_logic_vector (n-1 downto 0);

B: in std_logic_vector (n-1 downto 0);

less: out std_logic;

equal: out std_logic;

greater: out std_logic);

end Comparator;

;

architecture behv of Comparator is

begin

process (A, B)

begin

if (A<= '1'; equal <= '0'; greater <= '0';

elsif (A=B) then less <= '0'; equal <= '1'; greater <= '0';

else less <= '0'; equal <= '0'; greater <= '1';

end if; end process; end behv;

;

Данная рСализация, ΠΊΠ°ΠΊ Π·Π°ΠΌΠ΅Ρ‚Π½ΠΎ, являСтся Π³ΠΎΡ€Π°Π·Π΄ΠΎ Π±ΠΎΠ»Π΅Π΅ Ρ‡ΠΈΡ‚Π°Π±Π΅Π»ΡŒΠ½ΠΎΠΉ ΠΈ ΠΏΠΎΠ½ΡΡ‚Π½ΠΎΠΉ. Π’Π°ΠΊΠΆΠ΅ Π½Π΅ΠΌΠ°Π»ΠΎΠ²Π°ΠΆΠ½ΠΎ, Ρ‡Ρ‚ΠΎ ΠΏΡ€ΠΈ ΡƒΠ²Π΅Π»ΠΈΡ‡Π΅Π½ΠΈΠΈ количСства ΠΏΠΎΡ€Ρ‚ΠΎΠ² ΠΈ ΠΊΠΎΠ»ΠΈΡ‡Π΅ΡΡ‚Π²Π° Π²Ρ…ΠΎΠ΄ΠΎΠ² такая ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° Π½Π΅ Π±ΡƒΠ΄Π΅Ρ‚ Ρ‚Π°ΠΊ быстро Π·Π°Π³Ρ€ΠΎΠΌΠΎΠΆΠ΄Π°Ρ‚ΡŒΡΡ ΠΈ, Π² Π»ΡŽΠ±ΠΎΠΌ случаС, Π² Π΅Π΅ Ρ‚Π΅Π»Π΅ всСгда ΠΌΠΎΠΆΠ½ΠΎ Π±ΡƒΠ΄Π΅Ρ‚ Π½Π°ΠΏΠΈΡΠ°Ρ‚ΡŒ пояснСния для сСбя ΠΈΠ»ΠΈ Π±ΡƒΠ΄ΡƒΡ‰ΠΈΡ… Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Ρ‡ΠΈΠΊΠΎΠ².

3. Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½ΠΎΠΉ части

3.1 Π‘ΠΎΠ·Π΄Π°Π½ΠΈΠ΅ схСмы устройства

ΠŸΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΡƒΠ΅ΠΌ устройство, ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ Π±ΡƒΠ΄Π΅Ρ‚ ΠΎΡ‚Π²Π΅Ρ‡Π°Ρ‚ΡŒ нашим потрСбностям: ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ с Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΉ систСмой ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ Π΅Π³ΠΎ Π½Π° ΠΏΡ€Π°Π²ΠΈΠ»ΡŒΠ½ΠΎΡΡ‚ΡŒ функционирования. Π’ Π΄Π°Π»ΡŒΠ½Π΅ΠΉΡˆΠ΅ΠΌ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° Π±ΡƒΠ΄Π΅Ρ‚ Π²Π΅ΡΡ‚ΠΈΡΡŒ Π½Π° ΠŸΠ›Π˜Π‘.

Бтруктурная схСма устройства

Π‘ΠΎΠ·Π΄Π°Π΅ΠΌ схСматичСский ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ Π±ΡƒΠ΄ΡƒΡ‰Π΅Π³ΠΎ устройства. Π’ Ρ€Π΅ΠΆΠΈΠΌΠ΅ Ρ€Π°Π±ΠΎΡ‚Ρ‹ Π½Π° ΡΡ…Π΅ΠΌΡƒ сравнСния Π±ΡƒΠ΄ΡƒΡ‚ ΠΏΠΎΡΡ‚ΡƒΠΏΠ°Ρ‚ΡŒ Π΄Π²Π° Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… сигнала, ΠΈΠ· ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ…, Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ Ρ‚ΠΎΠ³ΠΎ, Ρ€Π°Π²Π½Ρ‹ Π»ΠΈ входящиС значСния, Π±ΡƒΠ΄Π΅Ρ‚ Ρ„ΠΎΡ€ΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒΡΡ ΠΎΠ΄ΠΈΠ½ Π²Ρ‹Ρ…ΠΎΠ΄Π½ΠΎΠΉ. Π’ Ρ€Π΅ΠΆΠΈΠΌΠ΅ тСстирования Π½Π° ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ Π΄ΠΎΠ»ΠΆΠ΅Π½ ΠΏΠΎΠ΄Π°Π²Π°Ρ‚ΡŒΡΡ ΠΎΠ΄ΠΈΠ½ сигнал (Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠ΅ Ρ€Π΅ΠΆΠΈΠΌΠ° тСстирования), ΠΈ, Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ΠΎΠ², дСмонстрируСмых Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹ΠΌ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ΠΎΠΌ, Π½Π° Π²Ρ‹Ρ…ΠΎΠ΄ Π±ΡƒΠ΄Π΅Ρ‚ ΠΏΠΎΠ΄Π°Π²Π°Ρ‚ΡŒΡΡ сигнал «ΠΠΎΡ€ΠΌΠ°» (устройство Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½ΠΈΡ€ΡƒΠ΅Ρ‚ Π½ΠΎΡ€ΠΌΠ°Π»ΡŒΠ½ΠΎ) Π»ΠΈΠ±ΠΎ «ΠΠ΅Π½ΠΎΡ€ΠΌΠ°» (Π·Π°ΠΌΠ΅Ρ‡Π΅Π½ сбой Π² Ρ€Π°Π±ΠΎΡ‚Π΅ устройства) — см. Ρ€ΠΈΡΡƒΠ½ΠΎΠΊ 3.1.

Рисунок 3.1 — БхСматичСскоС прСдставлСниС Π±ΡƒΠ΄ΡƒΡ‰Π΅Π³ΠΎ устройства ΠŸΠ΅Ρ€Π²ΠΈΡ‡Π½Ρ‹ΠΉ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚ элСктричСской ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½ΠΎΠΉ схСмы систСмы Π­Ρ‚ΠΎΡ‚ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚ Π±Ρ‹Π» создан Π²Π½Π°Ρ‡Π°Π»Π΅, Ρ‡Ρ‚ΠΎΠ±Ρ‹ ΠΎΠΏΡ€Π΅Π΄Π΅Π»ΠΈΡ‚ΡŒΡΡ с ΠΎΡΠ½ΠΎΠ²Π½Ρ‹ΠΌΠΈ направлСниями Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ. Π—Π΄Π΅ΡΡŒ Π΅ΡΡ‚ΡŒ нСсколько ошибок, ΠΈ Π² Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π΅ ΠΎΠΏΡ‹Ρ‚Π½Ρ‹ΠΉ ΠΎΠ±Ρ€Π°Π·Π΅Ρ† ΠΏΡ€ΠΈ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… условиях Π²Ρ‹Π΄Π°Π²Π°Π» Π½Π΅Π²Π΅Ρ€Π½Ρ‹Π΅ значСния.

Рисунок 3.2 — ΠŸΡ€Π΅Π΄Π²Π°Ρ€ΠΈΡ‚Π΅Π»ΡŒΠ½Π°Ρ элСктричСская ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½Π°Ρ схСма систСмы Π—Π°ΠΊΠ»ΡŽΡ‡ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΉ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚ элСктричСской ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠΈΠ°Π»ΡŒΠ½ΠΎΠΉ схСмы систСмы ПослС Π΄Π΅Ρ‚Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ рассмотрСния ΠΏΠ΅Ρ€Π²ΠΎΠ³ΠΎ Π²Π°Ρ€ΠΈΠ°Π½Ρ‚Π°, Π°Π½Π°Π»ΠΈΠ·Π° ΠΏΠΎΡ…ΠΎΠΆΠΈΡ… устройств ΠΈ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚Π΅ΠΉ Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ ΠΎΡ‚Π΄Π΅Π»ΡŒΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚ΠΎΠ², нашлись нСсколько ΠΏΡƒΡ‚Π΅ΠΉ упрощСния схСмы. Π’ΠΎ-ΠΏΠ΅Ρ€Π²Ρ‹Ρ…, вмСсто Π΄Π²ΡƒΡ… счСтчиков с Ρ‡Π΅Ρ‚Ρ‹Ρ€ΡŒΠΌΡ Π²Ρ‹Π²ΠΎΠ΄Π°ΠΌΠΈ Π²ΠΏΠΎΠ»Π½Π΅ ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ ΠΎΠ΄ΠΈΠ½ счСтчик, ΠΈ Π΅Π³ΠΎ сигналы Ρ€Π°Π·Π΄Π²Π°ΠΈΠ²Π°Ρ‚ΡŒ Π½Π° Π²Ρ…ΠΎΠ΄Ρ‹, А ΠΈ Π’. Π•Ρ‰Π΅ ΠΏΡ€ΠΎΡ‰Π΅ вмСсто этого счСтчика ΠΏΠΎΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ Ρ‡Π΅Ρ‚Ρ‹Ρ€Π΅ простых Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π° (это, Π² ΠΏΡ€ΠΈΠ½Ρ†ΠΈΠΏΠ΅, ΠΈ Π΅ΡΡ‚ΡŒ схСма счСтчика) — вСдь Ρ‚ΠΎΠ³Π΄Π° Π² ΡΡ…Π΅ΠΌΠ΅ Π±ΡƒΠ΄ΡƒΡ‚ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒΡΡ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ ΠΎΠ΄Π½ΠΎΡ‚ΠΈΠΏΠ½Ρ‹Π΅, взаимозамСняСмыС элСмСнты.

Π—Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ упрощаСтся правая Ρ‡Π°ΡΡ‚ΡŒ схСмы: нашСлся способ ΠΏΠΎΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ всСго ΠΎΠ΄ΠΈΠ½ элСмСнт «Π˜» вмСсто счСтчика, Π΄Π²ΡƒΡ… элСмСнтов «Π˜» ΠΈ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€Π°. ПослС всСх этих ΡƒΠΏΡ€ΠΎΡ‰Π΅Π½ΠΈΠΉ, схСма, выполняя всё Ρ‚Π΅ ΠΆΠ΅ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΈ, ΠΏΡ€ΠΈΠΎΠ±Ρ€Π΅Ρ‚Π°Π΅Ρ‚ Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ Π±ΠΎΠ»Π΅Π΅ простой ΠΈ Ρ‡ΠΈΡ‚Π°Π±Π΅Π»ΡŒΠ½Ρ‹ΠΉ Π²ΠΈΠ΄ (см. Ρ€ΠΈΡΡƒΠ½ΠΎΠΊ 3.3).

Рисунок 3.3 — ЭП ΡΡ…Π΅ΠΌΠ° контроля ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° ΠŸΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΠΌ Π½Π°Π±ΠΎΡ€ Π΄Π°Π½Π½ΠΎΠΉ схСмы Quartus. НСкоторыС элСмСнты Π² ΡΡ‚ΠΎΠΉ срСдС проСктирования ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΠ°ΡŽΡ‚ΡΡ ΠΏΠΎ-Π΄Ρ€ΡƒΠ³ΠΎΠΌΡƒ. К ΠΏΡ€ΠΈΠΌΠ΅Ρ€Ρƒ, вмСсто ΠΎΠ΄Π½ΠΎΠ³ΠΎ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° Π½Π° Π²ΠΎΡΠ΅ΠΌΡŒ Π²Ρ…ΠΎΠ΄ΠΎΠ² Π½ΡƒΠΆΠ½ΠΎ ΠΏΠΎΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ Ρ‡Π΅Ρ‚Ρ‹Ρ€Π΅ ΠΎΠ΄Π½ΠΎΡ‚ΠΈΠΏΠ½Ρ‹Ρ… ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€Π° ΠΏΠΎ Π΄Π²Π° Π²Ρ…ΠΎΠ΄Π°. НСобходимо Ρ‚Π°ΠΊΠΆΠ΅ ΡƒΠΊΠ°Π·Π°Ρ‚ΡŒ ΠΏΠΎΠ»Π½ΡƒΡŽ схСму ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ Ρ‚Ρ€ΠΈΠ³Π³Π΅Ρ€ΠΎΠ², Ρ‚Π°ΠΊΠΆΠ΅ ΠΌΠ΅Π½ΡΡŽΡ‚ΡΡ Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Π²Ρ…ΠΎΠ΄Ρ‹ (см. Ρ€ΠΈΡΡƒΠ½ΠΎΠΊ 3.4).

Рисунок 3.4 — ЭП ΡΡ…Π΅ΠΌΠ° систСмы, набранная Π² Quartus

ΠŸΡ€ΠΎΠ²Π΅Ρ€ΡΠ΅ΠΌ ΠΏΡ€Π°Π²ΠΈΠ»ΡŒΠ½ΠΎΡΡ‚ΡŒ Ρ€Π°Π±ΠΎΡ‚Ρ‹ систСмы: создаСм Vector Waveform file, Π·Π°Π΄Π°Π΅ΠΌ Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡ‹Π΅ для ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ Π²Ρ…ΠΎΠ΄Ρ‹ ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄Ρ‹, симулируСм ΠΈ ΡΠΌΠΎΡ‚Ρ€ΠΈΠΌ Π½Π° Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚ (см. Ρ€ΠΈΡΡƒΠ½ΠΎΠΊ 3.5).

Рисунок 3.5 — Π‘ΠΈΠΌΡƒΠ»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ Ρ€Π°Π±ΠΎΡ‚Ρ‹ систСмы Π’Ρ€ΠΈ Π²Π΅Ρ€Ρ…Π½ΠΈΡ… сигнала ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²Π»ΡΡŽΡ‚ собой Π²Ρ‹Ρ…ΠΎΠ΄Ρ‹ устройства, ΠΎΡΡ‚Π°Π»ΡŒΠ½Ρ‹Π΅ — Π·Π°Π΄Π°Π²Π°Π΅ΠΌΡ‹Π΅ Π²Ρ…ΠΎΠ΄Ρ‹. Как ΠΌΠΎΠΆΠ½ΠΎ ΡƒΠ²ΠΈΠ΄Π΅Ρ‚ΡŒ, ΠΈ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€, ΠΈ ΡΠΈΡΡ‚Π΅ΠΌΠ° ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΠΈ Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‚ исправно. Π—Π°Π΄Π΅Ρ€ΠΆΠΊΠ° ΠΌΠ΅ΠΆΠ΄Ρƒ Π²Ρ…ΠΎΠ΄ΠΎΠΌ ΠΈ Π²Ρ‹Ρ…ΠΎΠ΄ΠΎΠΌ составляСт всСго 9 наносСкунд. ΠΠ°Π±Ρ€Π°Π½Π½ΡƒΡŽ схСму срСда проСктирования ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΡ «Quartus» для сСбя прСдставляСт Π² ΡΠ»Π΅Π΄ΡƒΡŽΡ‰Π΅ΠΌ Π²ΠΈΠ΄Π΅ (см. Ρ€ΠΈΡΡƒΠ½ΠΎΠΊ 3.6.). Π­Ρ‚ΠΎ ΠΏΡ€ΠΎΠΌΠ΅ΠΆΡƒΡ‚ΠΎΡ‡Π½Ρ‹ΠΉ Π²ΠΈΠ΄ ΠΌΠ΅ΠΆΠ΄Ρƒ созданной ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΎΠΉ ΠΈ Ρ‚Π΅ΠΌ Π½Π°Π±ΠΎΡ€ΠΎΠΌ элСмСнтов «Π˜» ΠΈ «Π˜Π›Π˜», ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π±ΡƒΠ΄Π΅Ρ‚ занСсСн нСпосрСдствСнно Π½Π° ΠŸΠ›Π˜Π‘.

Рисунок 3.6 — ЭП ΡΡ…Π΅ΠΌΠ° созданного устройства Π² RTL Viewer

3.2 ΠžΠ±Π·ΠΎΡ€ ΠΈ ΡΡ€Π°Π²Π½Π΅Π½ΠΈΠ΅ основных ΠΌΠΈΡ€ΠΎΠ²Ρ‹Ρ… ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»Π΅ΠΉ ΠŸΠ›Π˜Π‘

ΠΌΠΈΠΊΡ€ΠΎΠΊΠΎΠ½Ρ‚Ρ€ΠΎΠ»Π»Π΅Ρ€ ΠΊΠΎΠΌΠΏΠ°Ρ€Π°Ρ‚ΠΎΡ€ ΠΎΠΏΠ΅Ρ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹ΠΉ ΡƒΡΠΈΠ»ΠΈΡ‚Π΅Π»ΡŒ Как извСстно, ΠΏΡ€ΠΈ Π²Ρ‹Π±ΠΎΡ€Π΅ элСмСнтной Π±Π°Π·Ρ‹ для высокотСхнологичных систСм ΠΎΠ±Ρ‹Ρ‡Π½ΠΎ Ρ€ΡƒΠΊΠΎΠ²ΠΎΠ΄ΡΡ‚Π²ΡƒΡŽΡ‚ΡΡ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠΌΠΈ критСриями ΠΎΡ‚Π±ΠΎΡ€Π°:

— Π±Ρ‹ΡΡ‚родСйствиС;

— Π»ΠΎΠ³ΠΈΡ‡Π΅ΡΠΊΠ°Ρ Ρ‘ΠΌΠΊΠΎΡΡ‚ΡŒ, достаточная для Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠ°;

— ΡΡ…СмотСхничСскиС ΠΈ ΠΊΠΎΠ½ΡΡ‚Ρ€ΡƒΠΊΡ‚ΠΈΠ²Π½Ρ‹Π΅ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΠŸΠ›Π˜Π‘, Π½Π°Π΄Ρ‘ΠΆΠ½ΠΎΡΡ‚ΡŒ, Ρ€Π°Π±ΠΎΡ‡ΠΈΠΉ Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½ Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€, ΡΡ‚ΠΎΠΉΠΊΠΎΡΡ‚ΡŒ ΠΊ ΠΈΠΎΠ½ΠΈΠ·ΠΈΡ€ΡƒΡŽΡ‰ΠΈΠΌ излучСниям ΠΈ Ρ‚. ΠΏ.;

— ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ владСния срСдствами Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ, Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰Π°Ρ ΠΊΠ°ΠΊ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ обСспСчСния, Ρ‚Π°ΠΊ Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ ΠΈ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚Π½Ρ‹Ρ… срСдств ΠΎΡ‚Π»Π°Π΄ΠΊΠΈ;

— ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ оборудования для программирования ΠŸΠ›Π˜Π‘ ΠΈΠ»ΠΈ ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Ρ… ΠŸΠ—Π£;

— Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ мСтодичСской ΠΈ Ρ‚СхничСской ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠΈ;

— Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ ΠΈ Π½Π°Π΄Ρ‘ΠΆΠ½ΠΎΡΡ‚ΡŒ российских поставщиков;

— ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ микросхСм.

Рассмотрим с ΡΡ‚ΠΈΡ… ΠΏΠΎΠ·ΠΈΡ†ΠΈΠΉ ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ†ΠΈΡŽ Π²Π΅Π΄ΡƒΡ‰ΠΈΡ… ΠΌΠΈΡ€ΠΎΠ²Ρ‹Ρ… ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»Π΅ΠΉ ΠŸΠ›Π˜Π‘, Π΄ΠΎΡΡ‚ΡƒΠΏΠ½ΡƒΡŽ Π½Π° ΡƒΠΊΡ€Π°ΠΈΠ½ΡΠΊΠΎΠΌ Ρ€Ρ‹Π½ΠΊΠ΅.

Altera

Π€ΠΈΡ€ΠΌΠ° Altera Corporation, (101 Innovation Drive, San Jose, CA 95 134, USA, www.altera.com) Π±Ρ‹Π»Π° основана Π² ΠΈΡŽΠ½Π΅ 1983 Π³ΠΎΠ΄Π°. Π’ Π½Π°ΡΡ‚оящСС врСмя High-end ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠΌ этой Ρ„ΠΈΡ€ΠΌΡ‹ являСтся сСмСйство APEX20K, особСнности Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρ‹ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ ΡƒΠΏΠΎΠΌΠΈΠ½Π°Π»ΠΈΡΡŒ Π²Ρ‹ΡˆΠ΅, Π° Π² Ρ‚Π°Π±Π». 3.1 ΠΏΡ€ΠΈΠ²Π΅Π΄Π΅Π½Ρ‹ основныС ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹ ΠŸΠ›Π˜Π‘ этого сСмСйства (ΠΊΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, Altera выпускаСт CPLD сСмСйств APEX20K, MAX7000, MAX9000 ΠΈ FPGA сСмСйств FLEX10K, FLEX8000, FLEX6000). ΠžΡΠ½ΠΎΠ²Π½Ρ‹Π΅ характСристики ΠŸΠ›Π˜Π‘ (см. Ρ‚Π°Π±Π»ΠΈΡ†Ρƒ 3.1).

Π’Π°Π±Π»ΠΈΡ†Π° 3.1 — ΠžΡΠ½ΠΎΠ²Π½Ρ‹Π΅ характСристики ΠŸΠ›Π˜Π‘ сСмСйства APEX20K Ρ„ΠΈΡ€ΠΌΡ‹ ALTERA

EP20K100

E20K160

EP20K200

EP20K300

EP20K400

EP20K600

EP20K1000

МаксимальноС число эквивалСнтных Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ

263 000

404 000

526 000

728 000

1 052 000

1 537 000

2 670 000

Число логичСских элСмСнтов

4 160

6 400

8 320

11 520

16 640

24 320

42 240

ВстроСнныС Π±Π»ΠΎΠΊΠΈ памяти

ΠœΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ объСм памяти, Π±ΠΈΡ‚

53 248

81 920

106 496

147 456

212 992

311 296

540 672

Число макроячССк

1 152

1 664

2 432

4 224

Число Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Ρ

Компания Altera являСтся основным ΠΊΠΎΠ½ΠΊΡƒΡ€Π΅Π½Ρ‚ΠΎΠΌ ΠΊΠΎΠΌΠΏΠ°Π½ΠΈΠΈ Xilinx, ΠΏΡ€ΠΈΡ‡Π΅ΠΌ ΠΏΠΎ Π²ΡΠ΅ΠΌ основным направлСниям. Π“Π»Π°Π²Π½ΠΎΠ΅ ΠΈΠ· Π½ΠΈΡ… — это производство ΠŸΠ›Π˜Π‘ ΠΊΠ°ΠΊ Ρ‚ΠΈΠΏΠ° FPGA, Ρ‚Π°ΠΊ ΠΈ Ρ‚ΠΈΠΏΠ° CPLD. Π’ ΠΌΠ°Π΅ 2008 Π³ΠΎΠ΄Π° Altera прСдставила Π½ΠΎΠ²ΠΎΠ΅ сСмСйство ΠΈΠ· ΡΠ΅Ρ€ΠΈΠΈ Stratix Π²Ρ‹ΡΠΎΠΊΠΎΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Ρ… микросхСм Ρ‚ΠΈΠΏΠ° FPGA — Stratix IV, Ρ€Π°Π±ΠΎΡ‚Π°ΡŽΡ‰ΠΈΡ… Π½Π° 40-Π½ΠΌ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Π΅. Для ΠΌΠ΅Π½Π΅Π΅ рСсурсоСмких Π·Π°Π΄Π°Ρ‡ компания Altera ΠΏΡ€Π΅Π΄Π»Π°Π³Π°Π΅Ρ‚ ΡΠ΅Ρ€ΠΈΡŽ ΠŸΠ›Π˜Π‘ FPGA Cyclone, Π° Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ компромисса ΠΌΠ΅ΠΆΠ΄Ρƒ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌΠΈ Stratix ΠΈ Π½Π΅Π΄ΠΎΡ€ΠΎΠ³ΠΈΠΌΠΈ Cyclone — ΡΠ΅Ρ€ΠΈΡŽ Arria. Для ΠΌΠΎΠ±ΠΈΠ»ΡŒΠ½Ρ‹Ρ… устройств выпускаСтся сСрия Max Π½Π° ΠΎΡΠ½ΠΎΠ²Π΅ ΠŸΠ›Π˜Π‘ Ρ‚ΠΈΠΏΠ° CPLD. Π’Π°ΠΊΠΆΠ΅ Π² Π΄ΠΎΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ ΠΊ ΡΡ‚ΠΈΠΌ микросхСмам компания выпускаСт ΡΠ΅Ρ€ΠΈΡŽ ASIC микросхСм HardCopy, Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Π°Π½Π½Ρ‹Ρ… Π² ΠΊΠ°Ρ‡Π΅ΡΡ‚Π²Π΅ спСциализированных Π°Π½Π°Π»ΠΎΠ³ΠΎΠ² ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΡ… FPGA Stratix. Π’ 2008 Π³ΠΎΠ΄Ρƒ микросхСма Stratix III Π±Ρ‹Π»Π° ΠΎΡ‚ΠΌΠ΅Ρ‡Π΅Π½Π° Π½Π°Π³Ρ€Π°Π΄ΠΎΠΉ DesignVision Award Π² ΠΎΠ±Π»Π°ΡΡ‚ΠΈ «ΠŸΠΎΠ»ΡƒΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½ΠΈΠΊΠΈ ΠΈ ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Π»ΡŒΠ½Ρ‹Π΅ схСмы».

Начиная с ΡΠ΅Ρ€ΠΈΠΈ Stratix III, Π² ΠŸΠ›Π˜Π‘ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ тСхнология Programmable Power Technology, которая позволяСт Π²Π°Ρ€ΡŒΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ Ρ€Π΅ΠΆΠΈΠΌ Ρ€Π°Π±ΠΎΡ‚Ρ‹ ΠΈ, соотвСтствСнно, ΠΏΠΎΡ‚Ρ€Π΅Π±Π»ΡΠ΅ΠΌΡƒΡŽ ΠΌΠΎΡ‰Π½ΠΎΡΡ‚ΡŒ логичСских ячССк Π² Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΠΈ ΠΎΡ‚ Π½Π΅ΠΎΠ±Ρ…одимости быстрого выполнСния поставлСнной Π·Π°Π΄Π°Ρ‡ΠΈ.

ΠœΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΡ‹ ΠΊΠΎΠΌΠΏΠ°Π½ΠΈΠΈ Altera Π°ΠΊΡ‚ΠΈΠ²Π½ΠΎ ΠΏΡ€ΠΈΠΌΠ΅Π½ΡΡŽΡ‚ΡΡ Π²ΠΎ ΠΌΠ½ΠΎΠ³ΠΈΡ… областях, Π½Π°ΠΏΡ€ΠΈΠΌΠ΅Ρ€, Π½Π° Ρ€Ρ‹Π½ΠΊΠ΅ бСспроводных ΠΈ ΠΏΡ€ΠΎΠ²ΠΎΠ΄Π½Ρ‹Ρ… ΠΊΠΎΠΌΠΌΡƒΠ½ΠΈΠΊΠ°Ρ†ΠΈΠΉ, Π² Π²ΠΎΠ΅Π½Π½Ρ‹Ρ… тСхнологиях, Π² ΠΎΠ±Π»Π°ΡΡ‚ΠΈ тСлСвСщания, Π° Ρ‚Π°ΠΊΠΆΠ΅ Π² Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… ΠΌΠΎΠ±ΠΈΠ»ΡŒΠ½Ρ‹Ρ… устройствах.

Компания Altera занимаСтся Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΎΠΉ Ρ€Π°Π·Π½ΠΎΠΎΠ±Ρ€Π°Π·Π½ΠΎΠ³ΠΎ ΠŸΠž для Ρ€Π°Π±ΠΎΡ‚Ρ‹ с ΠΈΡ… ΠΌΠΈΠΊΡ€ΠΎΡΡ…Π΅ΠΌΠ°ΠΌΠΈ, срСди ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… основным ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΌ ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠΌ являСтся ΠΏΠ°ΠΊΠ΅Ρ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌ Quartus II, рассмотрСнный Π² Π΄Π°Π½Π½ΠΎΠΉ Ρ€Π°Π±ΠΎΡ‚Π΅, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ прСдоставляСт Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Π΅ срСдства для проСктирования ΠΈ Π°Π½Π°Π»ΠΈΠ·Π° структуры микросхСм, Π° Ρ‚Π°ΠΊΠΆΠ΅ для ΠΎΠΏΡ‚ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΠΈ Π·Π°Ρ‚Ρ€Π°Ρ‚ ΠΏΠΎ ΠΏΠΎΡ‚рСбляСмой мощности.

Π”ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹ΠΌ Ρ„Π°ΠΊΡ‚ΠΎΡ€ΠΎΠΌ ΠΏΡ€ΠΈ Π²Ρ‹Π±ΠΎΡ€Π΅ ΠŸΠ›Π˜Π‘ Altera (ΠΊΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, Ρ‡Ρ‚ΠΎ Ρƒ Π½ΠΈΡ… Π΅ΡΡ‚ΡŒ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ пСрСпрограммирования нСпосрСдствСнно Π² ΡΠΈΡΡ‚Π΅ΠΌΠ΅; ΠΈ ΠΎΡ‚Π½ΠΎΡΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ высокая ΡΡ‚Π΅ΠΏΠ΅Π½ΡŒ ΠΈΠ½Ρ‚Π΅Π³Ρ€Π°Ρ†ΠΈΠΈ, ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰Π°Ρ Ρ€Π°Π·ΠΌΠ΅ΡΡ‚ΠΈΡ‚ΡŒ Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠ΅ устройство Π² ΠΎΠ΄Π½ΠΎΠΌ кристаллС ΠΈ Ρ‚Π΅ΠΌ самым ΡΠ½ΠΈΠ·ΠΈΡ‚ΡŒ врСмя ΠΈ Π·Π°Ρ‚Ρ€Π°Ρ‚Ρ‹ Π½Π° Ρ‚рассировку ΠΈ ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΡΡ‚Π²ΠΎ ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚) являСтся Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ достаточно Ρ€Π°Π·Π²ΠΈΡ‚Ρ‹Ρ… бСсплатных вСрсий БАПР.

ΠšΡ€ΠΎΠΌΠ΅ Ρ‚ΠΎΠ³ΠΎ, ΠŸΠ›Π˜Π‘ Ρ„ΠΈΡ€ΠΌΡ‹ Altera Π²Ρ‹ΠΏΡƒΡΠΊΠ°ΡŽΡ‚ΡΡ с Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒΡŽ программирования Π² ΡΠΈΡΡ‚Π΅ΠΌΠ΅ нСпосрСдствСнно Π½Π° ΠΏΠ»Π°Ρ‚Π΅. Для программирования ΠΈ Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΈ устройств ΠΎΠΏΡƒΠ±Π»ΠΈΠΊΠΎΠ²Π°Π½Π° схСма Π·Π°Π³Ρ€ΡƒΠ·ΠΎΡ‡Π½ΠΎΠ³ΠΎ кабСля ByteBlaster ΠΈ ByteBlasteMV. Π‘Π»Π΅Π΄ΡƒΠ΅Ρ‚ ΠΎΡ‚ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ, Ρ‡Ρ‚ΠΎ Π½ΠΎΠ²Ρ‹Π΅ ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΎΠ½Π½Ρ‹Π΅ ΠŸΠ—Π£ EPC2 ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒ с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ этого устройства, Ρ‚Π΅ΠΌ самым ΠΎΡ‚ΠΏΠ°Π΄Π°Π΅Ρ‚ Π½ΡƒΠΆΠ΄Π° Π² ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€Π΅, Ρ‡Ρ‚ΠΎ, СстСствСнно, сниТаСт ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ владСния Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠ΅ΠΉ.

ΠŸΠ›Π˜Π‘ Ρ„ΠΈΡ€ΠΌΡ‹ Altera Π²Ρ‹ΠΏΡƒΡΠΊΠ°ΡŽΡ‚ΡΡ Π² ΠΊΠΎΠΌΠΌΠ΅Ρ€Ρ‡Π΅ΡΠΊΠΎΠΌ ΠΈ ΠΈΠ½Π΄ΡƒΡΡ‚Ρ€ΠΈΠ°Π»ΡŒΠ½ΠΎΠΌ Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Π΅ Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€.

Xilinx

Компания Xilinx Inc. (2100 Logic Drive, San Jose, CA 95 124−3400, USA, www.xilinx.com) Π±Ρ‹Π»Π° основана Π² Ρ„Π΅Π²Ρ€Π°Π»Π΅ 1984, Π΅Ρ‘ High-end ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠΌ ΡΠ²Π»ΡΡŽΡ‚ΡΡ ΠŸΠ›Π˜Π‘ сСмСйства Virtex, основныС характСристики ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… прСдставлСны Π² Ρ‚Π°Π±Π»ΠΈΡ†Π΅ 3.2.

Π’Π°Π±Π»ΠΈΡ†Π° 3.2 — ΠžΡΠ½ΠΎΠ²Π½Ρ‹Π΅ характСристики ΠŸΠ›Π˜Π‘ сСмСйства Virtex Ρ„ΠΈΡ€ΠΌΡ‹ XILINX

XCV50

XCV100

XCV150

XCV200

XCV300

XCV400

XCV600

XCV800

XCV1000

МаксимальноС число эквивалСнтных Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ

57 906

108 904

164 674

236 666

322 970

468 252

661 111

888 439

1 124 022

Число логичСских элСмСнтов

1 728

2 700

3 888

5 292

6 912

10 800

15 552

21 168

27 648

ΠœΠ°ΠΊΡΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ объСм памяти, Π±ΠΈΡ‚

24 576

38 400

55 296

75 264

98 304

153 600

221 184

301 056

393 216

Число Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Ρ

АрхитСктура сСмСйства Virtex характСризуСтся ΡˆΠΈΡ€ΠΎΠΊΠΈΠΌ Ρ€Π°Π·Π½ΠΎΠΎΠ±Ρ€Π°Π·ΠΈΠ΅ΠΌ высокоскоростных трассировочных рСсурсов, Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ΠΌ Π²Ρ‹Π΄Π΅Π»Π΅Π½Π½ΠΎΠ³ΠΎ Π±Π»ΠΎΡ‡Π½ΠΎΠ³ΠΎ ΠžΠ—Π£, Ρ€Π°Π·Π²ΠΈΡ‚ΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΎΠΉ ускорСнного пСрСноса. ΠŸΠ›Π˜Π‘ Π΄Π°Π½Π½ΠΎΠΉ сСрии ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‚ высокиС скорости ΠΌΠ΅ΠΆΠΊΡ€ΠΈΡΡ‚Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ ΠΎΠ±ΠΌΠ΅Π½Π° — Π΄ΠΎ 200 ΠœΠ“Ρ† (стандарт HSTL IV). ΠšΡ€ΠΈΡΡ‚Π°Π»Π»Ρ‹ сСрии Virtex Π·Π° ΡΡ‡Ρ‘Ρ‚ Ρ€Π°Π·Π²ΠΈΡ‚ΠΎΠΉ Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΈ производства ΠΈ ΡƒΡΠΎΠ²Π΅Ρ€ΡˆΠ΅Π½ΡΡ‚Π²ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ процСсса Π²Π΅Ρ€ΠΈΡ„ΠΈΠΊΠ°Ρ†ΠΈΠΈ ΠΈΠΌΠ΅ΡŽΡ‚ Π΄ΠΎ-статочно Π½ΠΈΠ·ΠΊΡƒΡŽ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ (Π΄ΠΎ 40% ΠΎΡ‚ ΡΠΊΠ²ΠΈΠ²Π°Π»Π΅Π½Ρ‚Π½ΠΎΠΉ стоимости сСрии XC4000XL). НСкоторыС ΠΈΠ· ΡΠ΅ΠΌΠ΅ΠΉΡΡ‚Π² Virtex ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΠ΅Π½Ρ‹ Π½Π° Ρ€ΠΈΡΡƒΠ½ΠΊΠ΅ 3.7.

Рисунок 3.7 — ΠžΡΠ½ΠΎΠ²Π½Ρ‹Π΅ сСмСйства ΠŸΠ›Π˜Π‘ FPGA Ρ„ΠΈΡ€ΠΌΡ‹ Xilinx

Помимо сСмСйства Virtex, Xilinx выпускаСт FPGA сСмСйств XC3000A, XC4000E, Spartan, XC5200, Π° Ρ‚Π°ΠΊΠΆΠ΅ CPLD XC9500 ΠΈ ΠΌΠ°Π»ΠΎΠΏΠΎΡ‚Ρ€Π΅Π±Π»ΡΡŽΡ‰ΡƒΡŽ ΡΠ΅Ρ€ΠΈΡŽ CoolPLD. БущСствуСт бСсплатная вСрсия БАПР — WebPACK, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°ΡŽΡ‰Π°Ρ CPLD XC9500 ΠΈ CoolPLD, Π²Π²ΠΎΠ΄ описания Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠ° с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ языка описания Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Ρ‹ VHDL. Π‘Π»Π΅Π΄ΡƒΠ΅Ρ‚ Ρ‚Π°ΠΊΠΆΠ΅ Π·Π°ΠΌΠ΅Ρ‚ΠΈΡ‚ΡŒ, Ρ‡Ρ‚ΠΎ Xilinx сущСствСнно ΠΎΠ±Π½ΠΎΠ²ΠΈΠ» ΠΌΠΎΠ΄Π΅Π»ΡŒΠ½Ρ‹ΠΉ ряд ΠΊΠ°ΠΊ своих ΠŸΠ›Π˜Π‘, Ρ‚Π°ΠΊ ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ обСспСчСния, ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ΅ Ρ‚Π΅ΠΏΠ΅Ρ€ΡŒ разрабатываСтся с ΡƒΡ‡Π°ΡΡ‚ΠΈΠ΅ΠΌ Ρ„ΠΈΡ€ΠΌΡ‹ Synopsys. Для Π’Π£Π—ΠΎΠ² прСдусмотрСны Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ скидки Π½Π° ΠŸΠž. ΠŸΠ›Π˜Π‘ Xilinx Π²Ρ‹ΠΏΡƒΡΠΊΠ°ΡŽΡ‚ΡΡ Π² ΠΊΠΎΠΌΠΌΠ΅Ρ€Ρ‡Π΅ΡΠΊΠΎΠΌ ΠΈ ΠΈΠ½Π΄ΡƒΡΡ‚Ρ€ΠΈΠ°Π»ΡŒΠ½ΠΎΠΌ Π΄ΠΈΠ°ΠΏΠ°Π·ΠΎΠ½Π΅ Ρ‚Π΅ΠΌΠΏΠ΅Ρ€Π°Ρ‚ΡƒΡ€ с Π²ΠΎΠ΅Π½Π½ΠΎΠΉ (Military) ΠΈ ΠΊΠΎΡΠΌΠΈΡ‡Π΅ΡΠΊΠΎΠΉ (Space) ΠΏΡ€ΠΈΠ΅ΠΌΠΊΠΎΠΉ.

Actel Corporation

Компания Actel Corporation (955 East Arques Avenue, Sunnyvale, CA 94 086−4533, USA, www.actel.com) Π±Ρ‹Π»Π° основана Π² 1985 Π³ΠΎΠ΄Ρƒ. ΠžΡΠΎΠ±Π΅Π½Π½ΠΎΡΡ‚ΡŒΡŽ ΠŸΠ›Π˜Π‘ Actel являСтся ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠ΅ Ρ‚Π°ΠΊ Π½Π°Π·Ρ‹Π²Π°Π΅ΠΌΠΎΠΉ Antifuse-Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΈ, ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²Π»ΡΡŽΡ‰Π΅ΠΉ собой созданиС ΠΌΠ΅Ρ‚Π°Π»Π»ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠΉ ΠΏΠ΅Ρ€Π΅ΠΌΡ‹Ρ‡ΠΊΠΈ ΠΏΡ€ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠΈ. Данная тСхнология обСспСчиваСт Π²Ρ‹ΡΠΎΠΊΡƒΡŽ Π½Π°Π΄Ρ‘ΠΆΠ½ΠΎΡΡ‚ΡŒ ΠΈ Π³ΠΈΠ±ΠΊΠΈΠ΅ рСсурсы трассировки, Π° Ρ‚Π°ΠΊΠΆΠ΅ Π½Π΅ Ρ‚рСбуСтся ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΎΠ½Π½ΠΎΠ΅ ΠŸΠ—Π£. По ΡΡ‚ΠΎΠΉ Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΈ Π²Ρ‹ΠΏΡƒΡΠΊΠ°ΡŽΡ‚ΡΡ сСмСйства ACT1, ACT2, 1200XL, Π° Ρ‚Π°ΠΊΠΆΠ΅ Π½ΠΎΠ²Ρ‹Π΅ сСмСйства 54SX, А40МΠ₯ ΠΈ Π42МΠ₯ (со Π²ΡΡ‚Ρ€ΠΎΠ΅Π½Π½Ρ‹ΠΌΠΈ модулями памяти), ΠΈΠΌΠ΅ΡŽΡ‰ΠΈΠ΅ Ρ…ΠΎΡ€ΠΎΡˆΠΈΠ΅ ΠΏΠΎΠΊΠ°Π·Π°Ρ‚Π΅Π»ΠΈ Ρ†Π΅Π½Π° / логичСская Ρ‘ΠΌΠΊΠΎΡΡ‚ΡŒ (ΠŸΠ›Π˜Π‘, Π·Π°ΠΌΠ΅Π½ΡΡŽΡ‰Π°Ρ 300−350 корпусов Π’Π’Π›, стоит 10 $, ΠΏΡ€ΠΈ частотС > 250 ΠœΠ“Ρ†). Π”Π°Π½Π½Ρ‹Π΅ ΠŸΠ›Π˜Π‘ ΡΠ²Π»ΡΡŽΡ‚ΡΡ Ρ…ΠΎΡ€ΠΎΡˆΠ΅ΠΉ Π°Π»ΡŒΡ‚Π΅Ρ€Π½Π°Ρ‚ΠΈΠ²ΠΎΠΉ Π‘ΠœΠš ΠΏΡ€ΠΈ срСднСсСрийном производствС.

НовоС сСмСйство ProASIC Ρ„ΠΈΡ€ΠΌΡ‹ Actel ΠΎΠ±Π»Π°Π΄Π°Π΅Ρ‚ Ρ‘ΠΌΠΊΠΎΡΡ‚ΡŒΡŽ Π΄ΠΎ 500 000 эквивалСнтных логичСских Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ. Π•Π³ΠΎ ΠΎΡ‚Π»ΠΈΡ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΠΉ ΠΎΡΠΎΠ±Π΅Π½Π½ΠΎΡΡ‚ΡŒΡŽ являСтся ΡΠ½Π΅Ρ€Π³ΠΎΠ½Π΅Π·Π°Π²ΠΈΡΠΈΠΌΠΎΡΡ‚ΡŒ, благодаря ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΡŽ FLASH-Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΈ, ΠΈ Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ ΠΈΠ½Ρ‚Π΅Π³Ρ€ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ Π½Π° ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Π΅ Π·Π°ΠΏΠΎΠΌΠΈΠ½Π°ΡŽΡ‰Π΅Π³ΠΎ устройства.

К ΡΠΎΠΆΠ°Π»Π΅Π½ΠΈΡŽ, микросхСмы Actel, выпускаСмыС ΠΏΠΎ Antifuse-Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΈ, Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ примСнСния ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½ΠΎΠ³ΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€Π°, ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠ³ΠΎ ΠΏΠΎΠΊΠ° Π΅Ρ‰Ρ‘ вСсьма высока. Однако, ΠΈΡ… ΠΎΡ‚Π»ΠΈΡ‡Π°Π΅Ρ‚ высокая Π½Π°Π΄Ρ‘ΠΆΠ½ΠΎΡΡ‚ΡŒ, поэтому ΠΎΠ½ΠΈ ΡΠ²Π»ΡΡŽΡ‚ΡΡ вСсьма пСрспСктивной Π±Π°Π·ΠΎΠΉ для ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹Ρ… ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½ΠΈΠΉ. Π’Π°ΠΊ, ΠŸΠ›Π˜Π‘ сСрии RH1280 ΠΈΠΌΠ΅ΡŽΡ‚ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ характСристики:

— Π΄ΠΎΠΏΡƒΡΡ‚имая Π΄ΠΎΠ·Π° облучСния 300 000 РАД;

— Π»ΠΎΠ³ΠΈΡ‡Π΅ΡΠΊΠ°Ρ Ρ‘ΠΌΠΊΠΎΡΡ‚ΡŒ 16 000 эквивалСнтных Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ;

— Π±Ρ‹ΡΡ‚родСйствиС Π΄ΠΎ 135 ΠœΠ“Ρ†.

ΠŸΠ›Π˜Π‘ Π΄Π°Π½Π½ΠΎΠ³ΠΎ Ρ‚ΠΈΠΏΠ° Π±Ρ‹Π»ΠΈ ΠΏΡ€ΠΈΠΌΠ΅Π½Π΅Π½Ρ‹ Π² ΠΌΠ°Ρ€ΡΠΎΡ…ΠΎΠ΄Π΅ Π² ΡΠΈΡΡ‚Π΅ΠΌΠ΅ управлСния ΠΈ ΠΎΠ±Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ изобраТСния Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠΉ Π²ΠΈΠ΄Π΅ΠΎΠΊΠ°ΠΌΠ΅Ρ€Ρ‹ Ρ€ΠΎΠ±ΠΎΡ‚Π°-марсохода ΠΈ Π² Ρ„ΠΎΡ€ΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚Π΅Π»Π΅ ΠΊΠ°Π΄Ρ€Π° для ΠΏΠ΅Ρ€Π΅Π΄Π°Ρ‡ΠΈ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ Π½Π° Π—Π΅ΠΌΠ»ΡŽ. Π’ Π½Π°ΡΡ‚оящСС врСмя Π²Ρ‹ΠΏΡƒΡ‰Π΅Π½Ρ‹ Ρ€Π°Π΄ΠΈΠ°Ρ†ΠΈΠΎΠ½Π½ΠΎ-стойкиС ΠŸΠ›Π˜Π‘ Π½ΠΎΠ²Ρ‹Ρ… сСмСйств.

Компания Actel Π΄Π΅Π»Π°Π΅Ρ‚ ставку Π½Π° ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΡΡ‚Π²ΠΎ Π½Π΅Π±ΠΎΠ»ΡŒΡˆΠΈΡ… ΠΈ Π½Π΅Π΄ΠΎΡ€ΠΎΠ³ΠΈΡ… микросхСм Ρ‚ΠΈΠΏΠ° FPGA, ΠΎΡ€ΠΈΠ΅Π½Ρ‚ΠΈΡ€ΡƒΡΡΡŒ Π½Π° Π½Π°Π΄Π΅ΠΆΠ½ΠΎΡΡ‚ΡŒ Ρ‚Π°ΠΊΠΈΡ… ΠŸΠ›Π˜Π‘. Π’ Ρ†Π΅Π»ΠΎΠΌ выпускаСмыС ΠΊΠΎΠΌΠΏΠ°Π½ΠΈΠ΅ΠΉ Actel микросхСмы ΠΌΠΎΠΆΠ½ΠΎ Ρ€Π°Π·Π±ΠΈΡ‚ΡŒ Π½Π° Π΄Π²Π° Ρ‚ΠΈΠΏΠ°:

— Ρ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ flash-памяти;

— Ρ ΠΎΠ΄Π½ΠΎΠΊΡ€Π°Ρ‚Π½ΠΎ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΠΎΠΉ ΠΏΠ°ΠΌΡΡ‚ΡŒΡŽ (antifuse тСхнология).

Оба Ρ‚ΠΈΠΏΠ° микросхСм ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‚ высокий ΡƒΡ€ΠΎΠ²Π΅Π½ΡŒ защищСнности ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ ΠΊΠ°ΠΊ ΠΎΡ‚ Π½Π΅ΡΠ°Π½ΠΊΡ†ΠΈΠΎΠ½ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ доступа, Ρ‚Π°ΠΊ ΠΈ ΠΎΡ‚ Π°Π»ΡŒΡ„Π°ΠΈ Π½Π΅ΠΉΡ‚Ρ€ΠΎΠ½Π½ΠΎΠ³ΠΎ излучСния. Π’Π°ΠΊΠΆΠ΅ большим прСимущСством Ρ‚Π°ΠΊΠΈΡ… микросхСм являСтся Ρ‚ΠΎΡ‚ Ρ„Π°ΠΊΡ‚, Ρ‡Ρ‚ΠΎ ΠΎΠ½ΠΈ ΡΠ²Π»ΡΡŽΡ‚ΡΡ Π½Π΅ ΠΈΠ·ΠΌΠ΅Π½ΡΡŽΡ‰ΠΈΠΌΠΈΡΡ — Π½Π΅ Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠΈ ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€Π°Ρ†ΠΈΠΈ Π°Ρ€Ρ…ΠΈΡ‚Π΅ΠΊΡ‚ΡƒΡ€Ρ‹ ΠŸΠ›Π˜Π‘ ΠΊΠ°ΠΆΠ΄Ρ‹ΠΉ Ρ€Π°Π· ΠΏΡ€ΠΈ Π²ΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΠΈ питания. Π­Ρ‚ΠΎ ΠΎΠ·Π½Π°Ρ‡Π°Π΅Ρ‚, Ρ‡Ρ‚ΠΎ ΠΎΠ½ΠΈ Π³ΠΎΡ‚ΠΎΠ²Ρ‹ ΠΊ Ρ€Π°Π±ΠΎΡ‚Π΅ сразу ΠΆΠ΅ послС запуска оборудования.

ΠŸΠΎΡΠΊΠΎΠ»ΡŒΠΊΡƒ компания Actel занимаСтся производством ΠΊΠΎΠΌΠΏΠ°ΠΊΡ‚Π½Ρ‹Ρ… ΠΈ Π½Π΅Π΄ΠΎΡ€ΠΎΠ³ΠΈΡ… ΠŸΠ›Π˜Π‘ (Π² Ρ„Π΅Π²Ρ€Π°Π»Π΅ этого Π³ΠΎΠ΄Π° Actel стала Π²Ρ‹ΠΏΡƒΡΠΊΠ°Ρ‚ΡŒ микросхСмы сСрии ProASIC3 ΠΏΠΎ Ρ€Π΅ΠΊΠΎΡ€Π΄Π½ΠΎ Π½ΠΈΠ·ΠΊΠΎΠΉ Ρ†Π΅Π½Π΅ Π² 99 Ρ†Π΅Π½Ρ‚ΠΎΠ²), основными Π΅Π΅ ΠΏΠΎΠΊΡƒΠΏΠ°Ρ‚Слями ΡΠ²Π»ΡΡŽΡ‚ΡΡ ΠΊΠΎΠΌΠΏΠ°Π½ΠΈΠΈ, Π·Π°Π½ΠΈΠΌΠ°ΡŽΡ‰ΠΈΠ΅ΡΡ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹ΠΌΠΈ ΠΏΠΎΡ€Ρ‚Π°Ρ‚ΠΈΠ²Π½Ρ‹ΠΌΠΈ устройствами ΠΈ Π°Π²Ρ‚ΠΎΠΌΠΎΠ±ΠΈΠ»ΡŒΠ½ΠΎΠΉ ΠΏΡ€ΠΎΠΌΡ‹ΡˆΠ»Π΅Π½Π½ΠΎΡΡ‚ΡŒΡŽ. Π’Π°ΠΊΠΆΠ΅ благодаря описанным свойствам высокой надСТности ΠΈ ΠΌΠΎΠΌΠ΅Π½Ρ‚Π°Π»ΡŒΠ½ΠΎΠΉ готовности ΠΊ Ρ€Π°Π±ΠΎΡ‚Π΅ микросхСмы ΠΊΠΎΠΌΠΏΠ°Π½ΠΈΠΈ Actel ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΡŽΡ‚ΡΡ Π² Π²ΠΎΠ΅Π½Π½ΠΎΠΉ ΠΈ Π°ΡΡ€ΠΎΠΊΠΎΡΠΌΠΈΡ‡Π΅ΡΠΊΠΎΠΉ областях.

4. Π Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠΉ части

4.1 Π’Ρ‹Π±ΠΎΡ€ срСды программирования

К ΡΠΎΠΆΠ°Π»Π΅Π½ΠΈΡŽ, ΠŸΠ›Π˜Π‘ ΠΊΠ°ΠΆΠ΄ΠΎΠΉ Ρ„ΠΈΡ€ΠΌΡ‹ Ρ‚Ρ€Π΅Π±ΡƒΡŽΡ‚ примСнСния своих ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Ρ… ΠΏΠ°ΠΊΠ΅Ρ‚ΠΎΠ², ΡΡ‚Π΅ΠΏΠ΅Π½ΡŒ доступности ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… Ρ‚Π°ΠΊΠΆΠ΅ Ρ€Π°Π·Π»ΠΈΡ‡Π½Π°. ΠŸΠΎΠ»Π½Ρ‹Π΅ вСрсии ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Ρ… ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠ² всСх Π±Π΅Π· ΠΈΡΠΊΠ»ΡŽΡ‡Π΅Π½ΠΈΡ Ρ„ΠΈΡ€ΠΌ ΡΠ²Π»ΡΡŽΡ‚ΡΡ коммСрчСскими ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚Π°ΠΌΠΈ со ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒΡŽ ΠΎΡ‚ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… сотСн Π΄ΠΎ Π½Π΅ΡΠΊΠΎΠ»ΡŒΠΊΠΈΡ… тысяч Ρƒ. Π΅. Однако Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ Ρ„ΠΈΡ€ΠΌΡ‹ ΠΏΡ€Π΅Π΄ΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‚ бСсплатныС вСрсии своих ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Ρ… ΠΏΡ€ΠΎΠ΄ΡƒΠΊΡ‚ΠΎΠ² с Π½Π΅ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΌΠΈ ограничСниями возмоТностСй.

ΠžΡΠ½ΠΎΠ²Π½Ρ‹Π΅ характСристики ΠΏΠ°ΠΊΠ΅Ρ‚Π° WebPACK ISE Ρ„ΠΈΡ€ΠΌΡ‹ Xilinx

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Π΅ срСдства WebPACK ISE ΠΏΡ€Π΅Π΄ΡΡ‚Π°Π²Π»ΡΡŽΡ‚ собой систСму сквозного проСктирования, которая Ρ€Π΅Π°Π»ΠΈΠ·ΡƒΠ΅Ρ‚ всС этапы создания Ρ†ΠΈΡ„Ρ€ΠΎΠ²ΠΎΠ³ΠΎ устройства Π½Π° Π±Π°Π·Π΅ ΠŸΠ›Π˜Π‘, Π²ΠΊΠ»ΡŽΡ‡Π°Ρ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ кристалла: Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠ° ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°, синтСз, ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅, трассировка ΠΈ Π·Π°Π³Ρ€ΡƒΠ·ΠΊΠ° Π² ΠΊΡ€ΠΈΡΡ‚Π°Π»Π». ВСрсия 3.3WP8.0 БАПР WebPACK ISE ΠΏΡ€Π΅Π΄Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π° для проСктирования Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств Π½Π° Π±Π°Π·Π΅ ΠŸΠ›Π˜Π‘ производства Xilinx, относящихся ΠΊΠ°ΠΊ сСмСйствам CPLD: XC9500, XC9500XL, XC9500XV, XCR22V10, XCR3000 (XPLA13, XPLA2), XCR3000XL (XPLA3), XCR5000 (XPLA15), Ρ‚Π°ΠΊ ΠΈ FPGA: Spartan™-II, Virtex™-E (Ρ‚ΠΎΠ»ΡŒΠΊΠΎ кристалл XCV300E), Virtex-II (кристаллы 2V40, 2V80 ΠΈ 2V250).

ВозмоТности этого ΠΏΠ°ΠΊΠ΅Ρ‚Π°:

— ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΊΠ° Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… ΠΌΠ΅Ρ‚ΠΎΠ΄ΠΎΠ² описания ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… устройств (графичСских ΠΈ Ρ‚Скстовых);

— Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ использования ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ², ΠΏΠΎΠ΄Π³ΠΎΡ‚ΠΎΠ²Π»Π΅Π½Π½Ρ‹Ρ… Π² Π΄Ρ€ΡƒΠ³ΠΈΡ… систСмах проСктирования, Π² Ρ‚ΠΎΠΌ числС Π² ΡΡ€Π΅Π΄Π΅ ΠΏΠ°ΠΊΠ΅Ρ‚Π° Altera MAX+PlusII™;

— Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ схСмотСхничСского Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€Π°, ΡƒΠΊΠΎΠΌΠΏΠ»Π΅ΠΊΡ‚ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ Π½Π°Π±ΠΎΡ€ΠΎΠΌ ΠΎΠ±ΡˆΠΈΡ€Π½Ρ‹Ρ… Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊ;

— ΠΈΠ½Ρ‚Π΅Π»Π»Π΅ΠΊΡ‚ΡƒΠ°Π»ΡŒΠ½Ρ‹Π΅ срСдства создания HDL (Hardware Description Language) — описаний, Ρ„ΠΎΡ€ΠΌΠΈΡ€ΡƒΡŽΡ‰ΠΈΠ΅ ΡˆΠ°Π±Π»ΠΎΠ½Ρ‹ Π½Π° ΠΎΡΠ½ΠΎΠ²Π°Π½ΠΈΠΈ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠΈ, прСдоставляСмой ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Π΅ΠΌ, для языков описания Π°ΠΏΠΏΠ°Ρ€Π°Ρ‚ΡƒΡ€Ρ‹ VHDL, Verilog™ ΠΈ ABEL™ HDL;

— Π²Ρ‹ΡΠΎΠΊΠΎΡΡ„Ρ„Π΅ΠΊΡ‚ΠΈΠ²Π½Ρ‹Π΅ срСдства синтСза HDL-ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ², ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°ΡŽΡ‰ΠΈΠ΅ языки VHDL, Verilog ΠΈ ABEL HDL, с Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒΡŽ ΠΎΠΏΡ‚ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΠΈ;

— Π°Π²Ρ‚оматичСскиС срСдства трассировки ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° Π² ΠΊΡ€ΠΈΡΡ‚Π°Π»Π»Ρ‹ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… сСмСйств ΠŸΠ›Π˜Π‘ Xilinx с ΡƒΡ‡Π΅Ρ‚ΠΎΠΌ ΠΎΠΏΡ‚ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΠΈ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° ΠΏΠΎ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹ΠΌ ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Π°ΠΌ;

— ΡΡ€Π΅Π΄ΡΡ‚Π²Π° программирования кристаллов сСмСйств ΠŸΠ›Π˜Π‘ Xilinx, Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½Π½Ρ‹Ρ… ΠΏΠΎ Ρ€Π°Π·Π»ΠΈΡ‡Π½ΠΎΠΉ Ρ‚Π΅Ρ…Π½ΠΎΠ»ΠΎΠ³ΠΈΠΈ (CPLD ΠΈ FPGA), ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°ΡŽΡ‰ΠΈΠ΅ нСсколько Ρ‚ΠΈΠΏΠΎΠ² Π·Π°Π³Ρ€ΡƒΠ·ΠΎΡ‡Π½Ρ‹Ρ… ΠΊΠ°Π±Π΅Π»Π΅ΠΉ JTAG-интСрфСйса;

— Π½Π°Π»ΠΈΡ‡ΠΈΠ΅ ΠΈΠ½Ρ‚Π΅Π³Ρ€ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠ³ΠΎ с ΠΏΠ°ΠΊΠ΅Ρ‚ΠΎΠΌ БАПР Π½Π°Π±ΠΎΡ€Π° инструмСнтов ΠΈ ΡƒΡ‚ΠΈΠ»ΠΈΡ‚ Π΄Ρ€ΡƒΠ³ΠΈΡ… Ρ„ΠΈΡ€ΠΌ, ΠΏΡ€Π΅Π΄ΠΎΡΡ‚Π°Π²Π»ΡΡŽΡ‰ΠΈΡ… Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½Ρ‹Π΅ удобства Π² ΠΏΡ€ΠΎΡ†Π΅ΡΡΠ΅ проСктирования, Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰Π΅Π³ΠΎ ΡƒΡ‚ΠΈΠ»ΠΈΡ‚Ρƒ Π³Π΅Π½Π΅Ρ€Π°Ρ†ΠΈΠΈ тСстовых сигналов HDL Bencher™, ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ модСлирования ModelSim XE Starter™ ΠΈ Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ Π΄ΠΈΠ°Π³Ρ€Π°ΠΌΠΌ состояний StateCAD™ (Π½Π° Ρ€ΠΈΡΡƒΠ½ΠΊΠ΅ 4.1).

Рисунок 4.1 - ОсновноС ΠΎΠΊΠ½ΠΎ Навигатора ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° ΠΏΠ°ΠΊΠ΅Ρ‚Π° WebPACK ISE

Для получСния ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½ΠΎΠ³ΠΎ обСспСчСния WebPACK ISE Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ Π·Π°Ρ€Π΅Π³ΠΈΡΡ‚Ρ€ΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒΡΡ Π½Π° web-сайтС www.xilinx.com. ПослС рСгистрации слСдуСт Π²Ρ‹ΠΏΠΎΠ»Π½ΠΈΡ‚ΡŒ ΠΏΡ€ΠΎΡ†Π΅Π΄ΡƒΡ€Ρƒ копирования ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ ΠΏΠ°ΠΊΠ΅Ρ‚Π° Π½Π° ΠŸΠš, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π±ΡƒΠ΄Π΅Ρ‚ использован для развСртывания БАПР. Дистрибутив ΠΏΠ°ΠΊΠ΅Ρ‚Π° Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ Π² Π²ΠΈΠ΄Π΅ Π½Π°Π±ΠΎΡ€Π° ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ, ΠΊΠ°ΠΆΠ΄Ρ‹ΠΉ ΠΈΠ· ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… прСдставляСт собой ΡΠ°ΠΌΠΎΡ€Π°ΡΠΏΠ°ΠΊΠΎΠ²Ρ‹Π²Π°ΡŽΡ‰ΠΈΠΉΡΡ Π°Ρ€Ρ…ΠΈΠ². ПослС копирования слСдуСт ΠΏΠΎΠΎΡ‡Π΅Ρ€Π΅Π΄Π½ΠΎ Π·Π°ΠΏΡƒΡΡ‚ΠΈΡ‚ΡŒ Π½Π° Π²Ρ‹ΠΏΠΎΠ»Π½Π΅Π½ΠΈΠ΅ ΠΊΠ°ΠΆΠ΄Ρ‹ΠΉ ΠΈΠ· ΠΏΠΎΠ»ΡƒΡ‡Π΅Π½Π½Ρ‹Ρ… Ρ„Π°ΠΉΠ»ΠΎΠ². По ΠΎΠΊΠΎΠ½Ρ‡Π°Π½ΠΈΠΈ распаковки ΠΊΠ°ΠΆΠ΄ΠΎΠ³ΠΎ Π°Ρ€Ρ…ΠΈΠ²Π° автоматичСски запускаСтся ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° установки ΡΠΎΠΎΡ‚Π²Π΅Ρ‚ΡΡ‚Π²ΡƒΡŽΡ‰ΠΈΡ… ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ. ΠŸΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒ Π΄ΠΎΠ»ΠΆΠ΅Π½ ΠΏΠΎΡΠ»Π΅Π΄ΠΎΠ²Π°Ρ‚Π΅Π»ΡŒΠ½ΠΎ Π²Ρ‹ΠΏΠΎΠ»Π½ΠΈΡ‚ΡŒ всС инструкции ΠΊΠ°ΠΆΠ΄ΠΎΠΉ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ инсталляции. Π‘Π»Π΅Π΄ΡƒΠ΅Ρ‚ ΠΎΠ±Ρ€Π°Ρ‚ΠΈΡ‚ΡŒ Π²Π½ΠΈΠΌΠ°Π½ΠΈΠ΅ Π½Π° Ρ‚ΠΎ, Ρ‡Ρ‚ΠΎ послС установки ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹ модСлирования ModelSim XE Starter слСдуСт ΠΏΠΎΠ»ΡƒΡ‡ΠΈΡ‚ΡŒ Ρ„Π°ΠΉΠ» Π»ΠΈΡ†Π΅Π½Π·ΠΈΠΈ. Для этого Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ Π·Π°ΠΏΡƒΡΡ‚ΠΈΡ‚ΡŒ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡƒ Licensing Wizard, которая собСрСт Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΡƒΡŽ для получСния Π»ΠΈΡ†Π΅Π½Π·ΠΈΠΎΠ½Π½ΠΎΠ³ΠΎ ΠΊΠΎΠ΄Π° ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΡŽ ΠΎΠ± ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΠΎΠΌ ΠŸΠš. Π€Π°ΠΉΠ» с ΡΡ‚ΠΎΠΉ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΠ΅ΠΉ Π΄ΠΎΠ»ΠΆΠ΅Π½ Π±Ρ‹Ρ‚ΡŒ ΠΎΡ‚ΠΏΡ€Π°Π²Π»Π΅Π½ ΠΏΠΎ ΡΠ»Π΅ΠΊΡ‚Ρ€ΠΎΠ½Π½ΠΎΠΉ ΠΏΠΎΡ‡Ρ‚Π΅. Π’ Ρ‚ΠΎ ΠΆΠ΅ врСмя, рассматриваСмый дальшС ΠΏΠ°ΠΊΠ΅Ρ‚ Quartus II, ΠΏΡ€ΠΈ Π±ΠΎΠ»Π΅Π΅ высокой ΠΏΡ€ΠΎΠΈΠ·Π²ΠΎΠ΄ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎΡΡ‚ΠΈ, Π½Π΅ Ρ‚Ρ€Π΅Π±ΡƒΠ΅Ρ‚ Ρ‚Π°ΠΊΠΈΡ… Π΄ΠΎΠ»Π³ΠΈΡ… настроСк для запуска.

ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Π΅ ΠΏΠ°ΠΊΠ΅Ρ‚Ρ‹ Ρ„ΠΈΡ€ΠΌΡ‹ Altera

Для Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств Π½Π° ΠŸΠ›Π˜Π‘ Ρ„ΠΈΡ€ΠΌΡ‹ «Altera» сСйчас ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅Ρ‚ΡΡ интСгрированная срСда Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств, коммСрчСская вСрсия ΠΊΠΎΡ‚ΠΎΡ€ΠΎΠΉ называСтся Quartus II. Π•Π΅ Π±Π΅ΡΠΏΠ»Π°Ρ‚ная ΠΈ ΠΊΠΎΠΌΠΌΠ΅Ρ€Ρ‡Π΅ΡΠΊΠ°Ρ вСрсии Π½ΠΈΡ‡Π΅ΠΌ Π½Π΅ ΠΎΡ‚Π»ΠΈΡ‡Π°ΡŽΡ‚ΡΡ Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎ. Π Π°Π·Π»ΠΈΡ‡ΠΈΠ΅ ΠΌΠ΅ΠΆΠ΄Ρƒ Π½ΠΈΠΌΠΈ Π·Π°ΠΊΠ»ΡŽΡ‡Π°Π΅Ρ‚ΡΡ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ Π² Ρ‡ΠΈΡΠ»Π΅ Ρ‚ΠΈΠΏΠΎΠ² ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅ΠΌΡ‹Ρ… устройств. ЕстСствСнно, Ρ‡Ρ‚ΠΎ основная вСрсия ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅Ρ‚ вСсь спСктр ΠŸΠ›Π˜Π‘, выпускаСмых Ρ„ΠΈΡ€ΠΌΠΎΠΉ, ΠΈ Π΄Π°ΠΆΠ΅ нСсколько Ρ‚ΠΈΠΏΠΎΠ² ΠŸΠ›Π˜Π‘ ΠΊΠΎΠ½ΠΊΡƒΡ€ΠΈΡ€ΡƒΡŽΡ‰ΠΈΡ… Ρ„ΠΈΡ€ΠΌ, Π² Ρ‚ΠΎ Π²Ρ€Π΅ΠΌΡ, ΠΊΠ°ΠΊ бСсплатная Π½Π΅ ΡΠΎΠ΄Π΅Ρ€ΠΆΠΈΡ‚, ΠΊ ΠΏΡ€ΠΈΠΌΠ΅Ρ€Ρƒ, новСйшСС сСмСйство ΠŸΠ›Π˜Π‘ Arria II GZ.

Π’ΠΈΠΏΡ‹ ΠŸΠ›Π˜Π‘, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅ΠΌΡ‹Π΅ бСсплатными вСрсиями ΠΏΠ°ΠΊΠ΅Ρ‚Π° Quartus 2 ΠΌΠΎΠΆΠ½ΠΎ ΠΏΠΎΡΠΌΠΎΡ‚Ρ€Π΅Ρ‚ΡŒ Π² Ρ‚Π°Π±Π»ΠΈΡ†Π΅ 4.1. ΠŸΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ° ΠΈΠΌΠ΅Π΅Ρ‚ возмоТности ΠΈΠ·ΠΌΠ΅Π½ΠΈΡ‚ΡŒ Π½Π°Π±Ρ€Π°Π½Π½ΡƒΡŽ ΠΈΠ½Ρ„ΠΎΡ€ΠΌΠ°Ρ†ΠΈΡŽ ΠΈ ΠΏΠΎΠ΄ΡΡ‚Ρ€ΠΎΠΈΡ‚ΡŒΡΡ практичСски ΠΏΠΎΠ΄ Π»ΡŽΠ±ΡƒΡŽ ΠΈΠ· Π΄Π°Π½Π½Ρ‹Ρ… ΠŸΠ›Π˜Π‘.

Π’Π°Π±Π»ΠΈΡ†Π° 4.1 — ΠŸΠ›Π˜Π‘, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅ΠΌΡ‹Ρ… срСдой программирования Quartus

БСмСйство

ΠŸΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅ΠΌΡ‹Π΅ ΠŸΠ›Π˜Π‘

Max II, MAX 3000 A, MAX 7000B (S), Arria GX, Cyclone I-IV, FLEX 6000, ACEX

ВсС

STRATIX III

EP3SE50, EP3SL70

STRATIX II

EP2S15

APEX II

EP2A15

APEX 20KE

EP20K30E, EP20K60E, EP20K100E, EP20K200E

FLEX 10 KE

EPF10K50S, EPF10K200S

По ΠΊΠΎΠ»ΠΈΡ‡Π΅ΡΡ‚Π²Ρƒ доступных ΠŸΠ›Π˜Π‘ Quartus Π·Π½Π°Ρ‡ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ ΠΎΠΏΠ΅Ρ€Π΅ΠΆΠ°Π΅Ρ‚ Π΄Ρ€ΡƒΠ³ΠΈΠ΅ систСмы программирования ΠŸΠ›Π˜Π‘, Π² Ρ‚ΠΎ Π²Ρ€Π΅ΠΌΡ, ΠΊΠ°ΠΊ ΠΏΠΎ Π΄Ρ€ΡƒΠ³ΠΈΠΌ Π½Π΅ ΠΌΠ΅Π½Π΅Π΅ Ρ‡Π΅ΠΌ соотвСтствуСт ΠΈΡ… ΡƒΡ€ΠΎΠ²Π½ΡŽ.

Π’Π°Π±Π»ΠΈΡ†Π° 4.2 — ΠžΡΠ½ΠΎΠ²Π½Ρ‹Π΅ характСристики соврСмСнных ΠŸΠ›Π˜Π‘

ΠŸΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€Ρ‹

MAX 3000

MAX 7000

FLEX 6000

ACEX 1K

Π’ΠΈΠΏ структуры

CPLD

CPLD

FPGA

FPGA

ЛогичСская Π΅ΠΌΠΊΠΎΡΡ‚ΡŒ эквивалСнтных Π²Π΅Π½Ρ‚ΠΈΠ»Π΅ΠΉ

600…5000

600…5000

10 000…24 000

10 000…100 000

Число ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΡƒΠ΅ΠΌΡ‹Ρ… Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ²

34…158

36…164

102…218

130…330

Максимальная тактовая частота, ΠœΠ“Ρ†

150…190

125…175

ΠžΡ€ΠΈΠ΅Π½Ρ‚ΠΈΡ€ΠΎΠ²ΠΎΡ‡Π½Π°Ρ ΡΡ‚ΠΎΠΈΠΌΠΎΡΡ‚ΡŒ, Ρƒ. Π΅.

5…80

7…160

17…45

35…120

Как слСдуСт ΠΈΠ· ΠΏΡ€ΠΈΠ²Π΅Π΄Ρ‘Π½Π½Ρ‹Ρ… Π² Ρ‚Π°Π±Π»ΠΈΡ†Π΅ 4.2 Π΄Π°Π½Π½Ρ‹Ρ…, Π½ΠΎΠΌΠ΅Π½ΠΊΠ»Π°Ρ‚ΡƒΡ€Π° микросхСм, ΠΏΠΎΠ΄Π΄Π΅Ρ€ΠΆΠΈΠ²Π°Π΅ΠΌΡ‹Ρ… бСсплатными вСрсиями систСмы Quartus II, ΠΎΡ…Π²Π°Ρ‚Ρ‹Π²Π°Π΅Ρ‚ практичСски всю Π»ΠΈΠ½Π΅ΠΉΠΊΡƒ ΠŸΠ›Π˜Π‘. Π˜Π½Ρ‚Π΅Ρ€Ρ„Π΅ΠΉΡ Π΄Π°Π½Π½ΠΎΠΉ срСды проСктирования ΠΈ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΡ ΠΈΠ·ΠΎΠ±Ρ€Π°ΠΆΠ΅Π½ Π½Π° Ρ€ΠΈΡΡƒΠ½ΠΊΠ΅ 4.2.

Рисунок 4.2 — Π˜Π½Ρ‚Π΅Ρ€Ρ„Π΅ΠΉΡ срСды программирования «Quartus»

ВсС ΠΏΠ°ΠΊΠ΅Ρ‚Ρ‹ ΠΈΠ½Ρ‚Π΅Π³Ρ€ΠΈΡ€ΠΎΠ²Π°Π½Π½ΠΎΠΉ срСды Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств Π½Π° ΠŸΠ›Π˜Π‘ Ρ„ΠΈΡ€ΠΌΡ‹ «Altera» ΠΈΠΌΠ΅ΡŽΡ‚ ΡΠ»Π΅Π΄ΡƒΡŽΡ‰ΠΈΠ΅ общСсистСмныС свойства:

1. ΠžΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‚ ΠΏΠΎΠ»Π½Ρ‹ΠΉ производствСнный Ρ†ΠΈΠΊΠ» выпуска Π³ΠΎΡ‚ΠΎΠ²Ρ‹Ρ… Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств Π½Π° ΠŸΠ›Π˜Π‘, Π²ΠΊΠ»ΡŽΡ‡Π°ΡŽΡ‰ΠΈΠΉ:

— Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΡƒ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° устройства (Π·Π°Π΄Π°Π½ΠΈΠ΅ Ρ‚Ρ€Π΅Π±ΡƒΠ΅ΠΌΠΎΠΉ Π»ΠΎΠ³ΠΈΠΊΠΈ функционирования устройства);

— ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΡƒ коррСктности ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° ΠΈ Π»ΠΎΠΊΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΡŽ ошибок;

— ΡΠΈΠ½Ρ‚Π΅Π· Π²Π½ΡƒΡ‚Ρ€Π΅Π½Π½Π΅ΠΉ структуры устройства с ΠΌΠΈΠ½ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΠ΅ΠΉ Ρ‚Ρ€Π΅Π±ΡƒΠ΅ΠΌΡ‹Ρ… рСсурсов;

— ΠΊΠΎΠΌΠΏΠΈΠ»ΡΡ†ΠΈΡŽ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° (созданиС Ρ„Π°ΠΉΠ»Π° для программирования ΠΈΠ»ΠΈ конфигурирования ΠŸΠ›Π˜Π‘);

— ΠΌΠΎΠ΄Π΅Π»ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ процСсса функционирования устройства, Π²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠΉ Π°Π½Π°Π»ΠΈΠ· ΠΈ, Π½Π°ΠΊΠΎΠ½Π΅Ρ†, ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ (ΠΊΠΎΠ½Ρ„ΠΈΠ³ΡƒΡ€ΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅) ΠŸΠ›Π˜Π‘.

2. Π˜ΠΌΠ΅ΡŽΡ‚ Ρ€Π°Π·Π²ΠΈΡ‚Ρ‹Π΅ ΠΈ ΡƒΠ΄ΠΎΠ±Π½Ρ‹Π΅ Π² ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠΈ срСдства Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ², Π² ΡΠΎΡΡ‚Π°Π² ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Ρ… входят:

— Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ схСм (Graphic Editor), нСсколько ΠΏΠΎΡ…ΠΎΠΆΠΈΠΉ Π½Π° Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€Ρ‹ БАПР ΠΏΠ΅Ρ‡Π°Ρ‚Π½Ρ‹Ρ… ΠΏΠ»Π°Ρ‚ (ORCAD, PCAD), Π½ΠΎ Π³ΠΎΡ€Π°Π·Π΄ΠΎ Π±ΠΎΠ»Π΅Π΅ ΡƒΠ΄ΠΎΠ±Π½Ρ‹ΠΉ Π² Ρ€Π°Π±ΠΎΡ‚Π΅;

— Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ Π²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… Π΄ΠΈΠ°Π³Ρ€Π°ΠΌΠΌ (Waveform Editor);

— Ρ‚Скстовый Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ² Π½Π° ΡΠ·Ρ‹ΠΊΠ΅ AHDL (Text Editor), самоС ΠΌΠΎΡ‰Π½ΠΎΠ΅, Π½ΠΎ ΠΈ ΡΠ°ΠΌΠΎΠ΅ слоТноС срСдство создания ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ²;

— (ВсС Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€Ρ‹ ΠΌΠΎΠ³ΡƒΡ‚ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒΡΡ для создания Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… частСй основного ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Π² ΡΡ‚ΠΎΠΌ случаС Π΄ΠΎΠ»ΠΆΠ΅Π½ ΡΠΎΠ·Π΄Π°Π²Π°Ρ‚ΡŒΡΡ Ρ‚ΠΎΠ»ΡŒΠΊΠΎ с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€Π° схСм.)

3. Π˜ΠΌΠ΅ΡŽΡ‚ Π±ΠΎΠ»ΡŒΡˆΡƒΡŽ Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΡƒ элСмСнтов Ρ€Π°Π·Π»ΠΈΡ‡Π½ΠΎΠ³ΠΎ Π²ΠΈΠ΄Π° (логичСских ΠΏΡ€ΠΈΠΌΠΈΡ‚ΠΈΠ²ΠΎΠ², Π°Π½Π°Π»ΠΎΠ³ΠΎΠ² дискрСтной Π»ΠΎΠ³ΠΈΠΊΠΈ 74-ΠΉ сСрии, ΠΏΠ°Ρ€Π°ΠΌΠ΅Ρ‚Ρ€ΠΈΠ·ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Ρ… логичСских Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΉ), ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰ΠΈΡ… ΡΠΎΠ·Π΄Π°Π²Π°Ρ‚ΡŒ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Ρ‹ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств любой слоТности.

4. ΠŸΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‚ Ρ€Π°Π·Ρ€Π°Π±Π°Ρ‚Ρ‹Π²Π°Ρ‚ΡŒ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Ρ‹ Π² Π²ΠΈΠ΄Π΅ ΠΌΠ½ΠΎΠ³ΠΎΡƒΡ€ΠΎΠ²Π½Π΅Π²ΠΎΠΉ ΠΈΠ΅Ρ€Π°Ρ€Ρ…ΠΈΠΈ Π²Π»ΠΎΠΆΠ΅Π½Π½Ρ‹Ρ… Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½Ρ‹Ρ… ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ ΠΈ ΡΠΎΠ·Π΄Π°Π²Π°Ρ‚ΡŒ с ΠΏΠΎΠΌΠΎΡ‰ΡŒΡŽ Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€ΠΎΠ² собствСнныС Π±ΠΈΠ±Π»ΠΈΠΎΡ‚Π΅ΠΊΠΈ ΠΌΠΎΠ΄ΡƒΠ»Π΅ΠΉ, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹Π΅ ΠΌΠΎΠ³ΡƒΡ‚ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒΡΡ Π² Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π°Ρ….

5. ΠžΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‚ ΠΎΠΏΡ‚ΠΈΠΌΠ°Π»ΡŒΠ½Ρ‹ΠΉ синтСз ΠΈ ΠΌΠΈΠ½ΠΈΠΌΠΈΠ·Π°Ρ†ΠΈΡŽ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΡƒΠ΅ΠΌΡ‹Ρ… для Ρ€Π΅Π°Π»ΠΈΠ·Π°Ρ†ΠΈΠΈ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° рСсурсов микросхСм.

6. ΠžΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‚ ΠΏΡ€ΠΎΠ²Π΅Ρ€ΠΊΡƒ ΠΈ Π»ΠΎΠΊΠ°Π»ΠΈΠ·Π°Ρ†ΠΈΡŽ ошибок ΠΏΡ€ΠΈ создании исходного ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° ΠΈ ΠΏΡ€ΠΈ компиляции с ΡƒΡ‡Ρ‘Ρ‚ΠΎΠΌ Ρ„ΠΎΡ€ΠΌΠ°Π»ΡŒΠ½Ρ‹Ρ… ΠΈ ΡΠΌΠΏΠΈΡ€ΠΈΡ‡Π΅ΡΠΊΠΈΡ… ΠΏΡ€Π°Π²ΠΈΠ» проСктирования Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств ΠΈ Π΄ΠΎΡΡ‚аточности ΠΈΠΌΠ΅ΡŽΡ‰ΠΈΡ…ΡΡ рСсурсов, гарантируя Ρ€Π°Π±ΠΎΡ‚ΠΎΡΠΏΠΎΡΠΎΠ±Π½ΠΎΡΡ‚ΡŒ ΡƒΡΠΏΠ΅ΡˆΠ½ΠΎ скомпилированного ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° (Π½ΠΎ Π½Π΅ Π³Π°Ρ€Π°Π½Ρ‚ΠΈΡ€ΡƒΡŽΡ‚ ΠΎΡ‚ ΠΎΡˆΠΈΠ±ΠΎΠΊ ΠΏΡ€ΠΈ Π·Π°Π΄Π°Π½ΠΈΠΈ Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚Ρ‡ΠΈΠΊΠΎΠΌ Π°Π»Π³ΠΎΡ€ΠΈΡ‚ΠΌΠ° функционирования устройства).

7. Π˜ΠΌΠ΅ΡŽΡ‚ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ автоматичСского Π²Ρ‹Π±ΠΎΡ€Π° Π½Π°ΠΈΠ±ΠΎΠ»Π΅Π΅ подходящСй микросхСмы Ρ‚Ρ€Π΅Π±ΡƒΠ΅ΠΌΠΎΠ³ΠΎ ΠΎΠ±ΡŠΡ‘ΠΌΠ° ΠΈΠ»ΠΈ распрСдСлСния ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° ΠΌΠ΅ΠΆΠ΄Ρƒ нСсколькими микросхСмами ΠΌΠ°Π»ΠΎΠ³ΠΎ ΠΎΠ±ΡŠΡ‘ΠΌΠ°.

8. ΠžΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‚ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½ΠΎΡΡ‚ΡŒ закрСплСния Π½Π°Π·Π½Π°Ρ‡Π΅Π½Π½Ρ‹Ρ… компилятором Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ² микросхСм для постоянной привязки ΠΊ Π²Π½Π΅ΡˆΠ½ΠΈΠΌ ΠΊΠΎΠΌΠΏΠΎΠ½Π΅Π½Ρ‚Π°ΠΌ Ρ†Π΅Π»Π΅Π²ΠΎΠ³ΠΎ устройства ΠΈΠ»ΠΈ пСрСназначСния Π²Ρ‹Π²ΠΎΠ΄ΠΎΠ².

9. Π˜ΠΌΠ΅ΡŽΡ‚ встроСнныС срСдства Ρ„ΡƒΠ½ΠΊΡ†ΠΈΠΎΠ½Π°Π»ΡŒΠ½ΠΎΠ³ΠΎ ΠΈ Π²Ρ€Π΅ΠΌΠ΅Π½Π½ΠΎΠ³ΠΎ модСлирования, ΠΎΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‰ΠΈΠ΅ Π±Ρ‹ΡΡ‚Ρ€ΡƒΡŽ Π²Π΅Ρ€ΠΈΡ„ΠΈΠΊΠ°Ρ†ΠΈΡŽ ΠΈ ΠΎΡ‚Π»Π°Π΄ΠΊΡƒ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚ΠΎΠ².

10. ΠžΠ±Π΅ΡΠΏΠ΅Ρ‡ΠΈΠ²Π°ΡŽΡ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ ΠΈ ΠΏΠ΅Ρ€Π΅ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Π½ΠΈΠ΅ микросхСм, ΠΈΠΌΠ΅ΡŽΡ‰ΠΈΡ… Π²ΡΡ‚Ρ€ΠΎΠ΅Π½Π½ΡƒΡŽ систСму программирования, нСпосрСдствСнно Π² ΡΠΎΡΡ‚Π°Π²Π΅ ΠΊΠΎΠ½Π΅Ρ‡Π½ΠΎΠ³ΠΎ издСлия Ρ‡Π΅Ρ€Π΅Π· ΡΠΏΠ΅Ρ†ΠΈΠ°Π»ΡŒΠ½Ρ‹ΠΉ кабСль, ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Π΅ΠΌΡ‹ΠΉ Π»ΠΈΠ±ΠΎ ΠΊ LPT-ΠΏΠΎΡ€Ρ‚Ρƒ (Byte Blaster), Π»ΠΈΠ±ΠΎ ΠΊ COM-ΠΏΠΎΡ€Ρ‚Ρƒ (Bit Blaster) ΠΊΠΎΠΌΠΏΡŒΡŽΡ‚Π΅Ρ€Π° ΠΈ Ρ‚СхнологичСского 10-ΠΊΠΎΠ½Ρ‚Π°ΠΊΡ‚Π½ΠΎΠ³ΠΎ соСдинитСля, устанавливаСмого Π½Π° ΠΏΠ»Π°Ρ‚Π΅ издСлия. Π‘Ρ…Π΅ΠΌΡ‹ ΠΊΠ°Π±Π΅Π»Π΅ΠΉ ΠΌΠΎΠΆΠ½ΠΎ Π½Π°ΠΉΡ‚ΠΈ Π½Π° ΡΠ°ΠΉΡ‚Π΅ Ρ„ΠΈΡ€ΠΌΡ‹ «Altera» Π² Ρ€Π°Π·Π΄Π΅Π»Π΅ Development Tools. Если Π½Π° ΠΏΠ»Π°Ρ‚Π΅ издСлия устанавливаСтся нСсколько ΠŸΠ›Π˜Π‘ со Π²ΡΡ‚Ρ€ΠΎΠ΅Π½Π½Ρ‹ΠΌΠΈ систСмами программирования, Ρ‚ΠΎ Π²ΡΠ΅ ΠΎΠ½ΠΈ ΠΌΠΎΠ³ΡƒΡ‚ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠΈΡ€ΠΎΠ²Π°Ρ‚ΡŒΡΡ Ρ‡Π΅Ρ€Π΅Π· ΠΎΠ΄ΠΈΠ½ тСхнологичСский Ρ€Π°Π·ΡŠΡ‘ΠΌ. Для программирования ΠΎΡΡ‚Π°Π»ΡŒΠ½Ρ‹Ρ… микросхСм Π½Π΅ΠΎΠ±Ρ…ΠΎΠ΄ΠΈΠΌΠΎ Π΄ΠΎΠΏΠΎΠ»Π½ΠΈΡ‚Π΅Π»ΡŒΠ½ΠΎ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ внСшний ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ°Ρ‚ΠΎΡ€, ΠΊΠΎΡ‚ΠΎΡ€Ρ‹ΠΉ Ρ‚Π°ΠΊΠΆΠ΅ ΠΌΠΎΠΆΠ΅Ρ‚ ΠΏΠΎΠ΄ΠΊΠ»ΡŽΡ‡Π°Ρ‚ΡŒΡΡ ΠΊ COMΠΈΠ»ΠΈ LPT-ΠΏΠΎΡ€Ρ‚Ρƒ.

ВсС ΠΏΠ°ΠΊΠ΅Ρ‚Ρ‹ ΠΈΠΌΠ΅ΡŽΡ‚ ΠΎΠ΄ΠΈΠ½Π°ΠΊΠΎΠ²Ρ‹ΠΉ, стандартный для Windows-ΠΏΡ€ΠΈΠ»ΠΎΠΆΠ΅Π½ΠΈΠΉ интСрфСйс ΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚Π΅Π»Ρ. Π’ΠΈΠ΄ Ρ€Π°Π±ΠΎΡ‡Π΅Π³ΠΎ ΠΎΠΊΠ½Π° с Ρ„Ρ€Π°Π³ΠΌΠ΅Π½Ρ‚Π°ΠΌΠΈ ΠΏΡ€ΠΎΠ΅ΠΊΡ‚Π° практичСской схСмы сканирования ΠΌΠ°Ρ‚Ρ€ΠΈΡ‡Π½ΠΎΠΉ ΠΊΠ»Π°Π²ΠΈΠ°Ρ‚ΡƒΡ€Ρ‹, созданного с ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Π½ΠΈΠ΅ΠΌ Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€Π° схСм ΠΈ ΠΌΠΎΠ΄ΡƒΠ»Ρ Π΄Π΅ΠΊΠΎΠ΄Π΅Ρ€Π° 2×4, созданного Π² Ρ€Π΅Π΄Π°ΠΊΡ‚ΠΎΡ€Π΅ Π²Ρ€Π΅ΠΌΠ΅Π½Π½Ρ‹Ρ… Π΄ΠΈΠ°Π³Ρ€Π°ΠΌΠΌ.

Π Π΅Π·ΡŽΠΌΠΈΡ€ΡƒΡ ΠΈΠ·Π»ΠΎΠΆΠ΅Π½Π½ΠΎΠ΅ Π²Ρ‹ΡˆΠ΅, ΠΌΠΎΠΆΠ½ΠΎ ΡΠΊΠ°Π·Π°Ρ‚ΡŒ, Ρ‡Ρ‚ΠΎ ΠΎΠ±Π° ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹Ρ… ΠΏΠ°ΠΊΠ΅Ρ‚Π° ΠΏΡ€ΠΈΠ³ΠΎΠ΄Π½Ρ‹ ΠΈ ΡƒΠ΄ΠΎΠ±Π½Ρ‹ Π² ΡΠΊΡΠΏΠ»ΡƒΠ°Ρ‚Π°Ρ†ΠΈΠΈ, ΠΎΠ΄Π½Π°ΠΊΠΎ Quartus прСвосходит ΠΊΠΎΠ½ΠΊΡƒΡ€Π΅Π½Ρ‚ΠΎΠ² ΠΊΠ°ΠΊ ΠΏΠΎ ΠΊΠΎΠ»ΠΈΡ‡Π΅ΡΡ‚Π²Ρƒ Π²ΠΎΠ·ΠΌΠΎΠΆΠ½Ρ‹Ρ… для программирования ΠŸΠ›Π˜Π‘, Ρ‚Π°ΠΊ ΠΈ ΠΏΠΎ Π³ΠΈΠ±ΠΊΠΎΡΡ‚ΠΈ структуры, ΠΏΠΎΠ·Π²ΠΎΠ»ΡΡŽΡ‰Π΅ΠΉ ΠΈΡΠΏΠΎΠ»ΡŒΠ·ΠΎΠ²Π°Ρ‚ΡŒ нСсколько Ρ€Π°Π·Π»ΠΈΡ‡Π½Ρ‹Ρ… языков программирования высокого ΠΈ ΡΡ€Π΅Π΄Π½Π΅Π³ΠΎ уровня. Π˜Π½Ρ‚Π΅Π³Ρ€ΠΈΡ€ΠΎΠ²Π°Π½Π½Ρ‹Π΅ срСды Ρ€Π°Π·Ρ€Π°Π±ΠΎΡ‚ΠΊΠΈ Ρ†ΠΈΡ„Ρ€ΠΎΠ²Ρ‹Ρ… устройств Ρ„ΠΈΡ€ΠΌΡ‹ Altera, ΠΈ Π΄Π°ΠΆΠ΅ ΠΈΡ… Π±Π΅ΡΠΏΠ»Π°Ρ‚Π½Ρ‹Π΅ вСрсии, ΡΠ²Π»ΡΡŽΡ‚ΡΡ вСсьма эффСктивными инструмСнтами для проСктирования ΠΌΠ½ΠΎΠ³ΠΈΡ… Ρ‚ΠΈΠΏΠΎΠ² ΠŸΠ›Π˜Π‘.

4.2 Π‘ΠΎΠ·Π΄Π°Π½ΠΈΠ΅ ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΡ‹

ПослС создания устройства «Π½Π° Π±Π»ΠΎΠΊΠ°Ρ…»: срСдствами ΠΏΠ°ΠΊΠ΅Ρ‚Π° Block-Diagram/Schematic files систСмы Quartus, ΠΏΠΎΠΏΡ€ΠΎΠ±ΡƒΠ΅ΠΌ Ρ€Π΅Π°Π»ΠΈΠ·ΠΎΠ²Π°Ρ‚ΡŒ Ρ‚ΠΎ ΠΆΠ΅ ΡΠ°ΠΌΠΎΠ΅ устройство ΠΏΡ€ΠΎΠ³Ρ€Π°ΠΌΠΌΠ½Ρ‹ΠΌΠΈ срСдствами (язык VHDL) ΠΈ ΡΡ€Π°Π²Π½ΠΈΠΌ ΠΈΡ… ΠΏΠΎ ΡΡ„фСктивности ΠΊΠΎΠ½Π΅Ρ‡Π½ΠΎΠ³ΠΎ Ρ€Π΅Π·ΡƒΠ»ΡŒΡ‚Π°Ρ‚Π° ΠΈ Ρ‚рудозатратности.

ΠŸΠΎΠΊΠ°Π·Π°Ρ‚ΡŒ вСсь тСкст
Π—Π°ΠΏΠΎΠ»Π½ΠΈΡ‚ΡŒ Ρ„ΠΎΡ€ΠΌΡƒ Ρ‚Π΅ΠΊΡƒΡ‰Π΅ΠΉ Ρ€Π°Π±ΠΎΡ‚ΠΎΠΉ