Помощь в написании студенческих работ
Антистрессовый сервис

Исследование и разработка элементной базы цифровых устройств обработки информации на основе принципа термодинамической обратимости

ДиссертацияПомощь в написанииУзнать стоимостьмоей работы

Установлено, что в асимптотически адиабатических вентилях 1п-1р на основе КМОП транзисторов зависимость энергопотребления от длительности этапов активации и дезактивации подчиняется закону W~Т~а, где а~. При одинаковых условиях вентили 1п-1р характеризуются в 13.25 раз меньшим энергопотреблением по сравнению с квазиадиабатическими вентилями 2п-2п2р или ECRL и не требует одновременной обработки… Читать ещё >

Исследование и разработка элементной базы цифровых устройств обработки информации на основе принципа термодинамической обратимости (реферат, курсовая, диплом, контрольная)

Содержание

  • ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ
  • Глава 1. ОБЗОР И СИСТЕМАТИЗАЦИЯ МЕТОДОВ ПОСТРОЕНИЯ АДИАБАТИЧЕСКИХ УСТРОЙСТВ ПРОИЗВОДСТВА ИНФОРМАЦИИ
    • 1. 1. Теоретические предпосылки
    • 1. 2. Асимптотически бездиссипативная логика
    • 1. 3. Квазиадиабатические логические вентили статического типа
    • 1. 4. Квазиадиабатические логические вентили динамического типа
    • 1. 5. Классификация адиабатических базовых логических вентилей
    • 1. 6. Квазиадиабатические источники питания (драйверы шин питания)
    • 1. 7. Классификация адиабатических драйверов шин питания
    • 1. 8. Выводы и постановка задачи
  • Глава 2. КВАЗИАДИАБАТИЧЕСКИЕ БАЗОВЫЕ ЛОГИЧЕСКИЕ ВЕНТИЛИ
    • 2. 1. Исходные положения
    • 2. 2. Компьютерное моделирование перспективных вариантов квазиадиабатических вентилей
    • 2. 3. Методы улучшения характеристик квазиадиабатических вентилей
    • 2. 4. Выводы
  • Глава 3. АСИМПТОТИЧЕСКИ АДИАБАТИЧЕСКИЕ БАЗОВЫЕ ЛОГИЧЕСКИЕ ВЕНТИЛИ
    • 3. 1. Асимптотически адиабатическая логика с коллапсирующими и расщепленными импульсами питания
    • 3. 2. Компьютерное моделирование базовых логических вентилей 1п-1р
    • 3. 3. Закономерности энергопотребления и методы совершенствования характеристик логики 1п-1р
    • 3. 4. Выводы
  • Глава 4. КВАЗИАДИАБАТИЧЕСКИЙ ДИНАМИЧЕСКИЙ БАЗОВЫЙ ВЕНТИЛЬ НА ОСНОВЕ n-КАНАЛЬНЫХ МОП-ТРАНЗИСТОРОВ 76 (КАДЛ-п)
    • 4. 1. Принципы функционирования вентиля КАДЛ-п
    • 4. 2. Энергетическая эффективность вентиля КАДЛ-п
    • 4. 3. Компьютерное моделирование цепи КАДЛ-п вентилей
    • 4. 4. Выводы
  • Глава 5. АДИАБАТИЧЕСКИЕ ДРАЙВЕРЫ СО СТУПЕНЧАТЫМ ПЕРЕЗАРЯДОМ НАГРУЗОЧНОЙ ЕМКОСТИ
    • 5. 1. Способы построения
    • 5. 2. Управление ключами
    • 5. 3. Входные емкости ключей
    • 5. 4. Энергетическая эффективность драйвера
    • 5. 5. Зависимость основных характеристик драйвера от тактовой частоты и свойств ключей
    • 5. 6. Результаты компьютерного моделирования
    • 5. 7. Выводы
  • Глава 6. АДИАБАТИЧЕСКИЙ ДРАЙВЕР НА ОСНОВЕ КОЛЬЦЕВОГО ГЕНЕРАТОРА
    • 6. 1. Импульсная подкачка энергии в LC-контур
    • 6. 2. Четырехфазный адиабатический драйвер на основе кольцевого генератора
    • 6. 3. Методика проектирования драйвера
    • 6. 4. Функциональная идеализированная модель системы авторегулирования 121 подкачки мощности
    • 6. 5. Поведенческое моделирование четырехфазного адиабатического драйвера
    • 6. 6. Выводы
  • ВЫВОДЫ И ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

Актуальность проблемы: В настоящее время особую актуальность приобретают исследования, направленные на снижение энергопотребления в цифровых устройствах обработки информации. Актуальность этого направления обусловлена тремя основными причинами: 1). Повышение степени интеграции цифровых БИС и плотности упаковки их элементов ограничивается возможностями теплоотвода, стоимость которого становится превалирующей, а возможности приближаются к теоретическому пределу. 2). В портативной электронной аппаратуре, работающей от автономных источников питания (универсальных и специализированных микропроцессорных системах, бортовых и мобильных телекоммуникационных устройствах, имплантируемых кардиостимуляторах и системах идентификации, бытовой электронной аппаратуре), существует проблема альтернативы между приемлемым сроком работы батарей или аккумуляторов, а также их весом и габаритами. 3). Охрана окружающей среды требует снижения нагрузки на системы кондиционирования и вентиляции, что, по-видимому, в ближайшем будущем приведет к установлению 15-амперного стандарта на рабочих станциях.

Одним из приоритетных направлений современной микроэлектроники является проектирование микромощных устройств производства и обработки информации (Low Power Design — LPD), охватывающее широкий круг методов снижения мощности, потребляемой интегральными схемами от источников питания. Методы LPD включают совершенствование технологии (снижение паразитных емкостей за счет уменьшения размеров и снижение питающих напряжений за счет уменьшения пороговых напряжений), оптимизацию топологических размеров, разработку энергетически эффективной архитектуры УБИС, создание новых активных элементов, а также использование новых принципов производства информации, (адиабатическая или термодинамически обратимая логика).

Последнее и наименее исследованное направление основано на возможности возврата в систему энергии, затраченной на производство информации, и ее повторного использования для последующих вычислений. Актуальность этого направления определяется чрезвычайно высокими предельными возможностями снижения энергопотребления. Практическая реализация адиабатических устройств производства информации требует создания не только соответствующей элементной базы (адиабатических базовых логических вентилей), но и адиабатических источников питания. Исследованию методов решения этих задач посвящена настоящая диссертация.

Работа выполнялась в рамках федеральных целевых научно-технических программ «Исследования и разработки по приоритетным направлениям развития науки и техники гражданского назначения на 1996;2000 годы» и «Исследования и разработки по приоритетным направлениям развития науки и техники» на 20 022 006 годы.

Цель работы состоит в систематизации методов построения адиабатических логических вентилей и источников питания, выявлении их наиболее перспективных вариантов, исследовании закономерностей энергопотребления и совершенствовании характеристик этих устройств.

Задачи исследования: Для достижения поставленной цели необходимо решить следующие конкретные задачи:

1) разработать классификацию методов построения адиабатических логических вентилей, источников питания, а также способов авторегулировки мощности подкачки и выявить наиболее перспективные варианты;

2) разработать методики оценки энергетической эффективности адиабатических логических вентилей и источников питания, а также методики определения их параметров;

3) установить закономерности энергопотребления логических вентилей и источников питания;

4) исследовать схемотехнические методы совершенствования характеристик адиабатических логических вентилей;

5) разработать практические схемы адиабатических источников питания, включающие систему авторегулирования мощности подкачки;

6) исследовать характеристики адиабатических вентилей и адиабатических источников питания методами компьютерного моделирования.

Научная новизна работы состоит в следующем:

1. Проведена классификация методов построения адиабатических базовых логических вентилей и источников питания, а также методов авторегулировки мощности подкачки в адиабатических драйверах шин питания, обеспечивающая возможности определения наиболее перспективных вариантов и целенаправленного поиска методов их совершенствования.

2. Установлены закономерности энергопотребления в наиболее перспективных адиабатических базовых логических вентилях и адиабатических источниках питания.

3. Обнаружен эффект аномально высокого энергопотребления в наиболее перспективных типах адиабатических вентилей 2п-2п2р и ECRL. Показано, что этот эффект связан с протеканием короткого импульса сквозного тока при смене логического состояния и предложен метод его нейтрализации.

4. Предложен способ схемотехнической организации адиабатического базового логического вентиля на основе только n-канальных МОП-транзисторов, обеспечивающий повышение плотности компоновки.

5. Предложен новый способ построения адиабатических источников питания резонансного типа на основе кольцевого генератора, обеспечивающий автоматическую синхронизацию выходных импульсов для произвольного числа фаз и автоматическую регулировку длительности импульсов подкачки.

6. Разработаны методики проектирования адиабатических источников питания, позволяющие произвести обоснованный выбор параметров цепи импульсной подкачки мощности в адиабатических источниках питания резонансного типа, а также параметров переключающих транзисторов в адиабатических источниках питания на основе ступенчатого перезаряда напряжения нагрузочной емкости.

Практическая ценность работы:

1. Результаты работы создают основу для разработки энергетически эффективной элементной базы устройств производства и обработки информации с ограниченными ресурсами мощности и габаритами в частности, для универсальных и специализированных микропроцессорных систем, бортовых и мобильных телекоммуникационных устройств, систем идентификации, имплантируемых кардиостимуляторов, бытовой электронной аппаратуры.

2. Разработанные способы организации адиабатических источников питания могут найти применение в микроэлектронных устройствах, содержащих информационные шины большой емкости (формирователях тактовых импульсов цифровых БИС и УБИС синхронного типа, формирователях импульсов выборки БИС и УБИС ЗУ, формирователях тактовых импульсов ПЗС и др.), обеспечивая существенное снижение суммарного энергопотребления.

3. Установленные закономерности энергопотребления логических вентилей и источников питания позволяют определить целесообразность и эффективность их применения на ранних стадиях проектирования устройств производства информации.

4. Предложенный в работе новый тип логического вентиля позволяет реализовать адиабатические блоки цифровых устройств производства информации способ в виде микросхем с высокой плотностью компоновки, содержащих только и-канальные МОП-транзисторы.

Внедрение результатов работы: материалы диссертационной работы используются в учебном процессе в Московском государственном институте электронной техники (МИЭТ).

Достоверность результатов Достоверность разработанных методов и схемотехнических решений подтверждена результатами компьютерного моделирования с использованием апробированных моделей элементов, а также результатами экспертизы материалов конференций. Получен патент РФ на изобретение № 2 184 418 по заявке № 2 001 120 069 от 19.07.2001.

На защиту выносятся следующие основные положения:

1. В классе асимптотически адиабатических базовых логических вентилей наилучшими характеристиками обладает вентиль типа lnlp, использующий коллапсирующие импульсы питания.

2. Реализация асимптотически бездиссипативной логики синхронного (конвейерного) типа на современном технологическом уровне нецелесообразна.

3. В классе квазиадиабатических базовых логических вентилей оптимальными являются статические вентили 2п-2п2р, а также динамические вентили ECRL и предложенные в работе вентили КАДЛ-п.

4. Зависимость энергии, рассеиваемой за цикл переключения в базовых логических вентилях 2п-2п2р и ECRL от тактовой частоты / и суммарной емкости вентиля и нагрузки С в рабочем частотном диапазоне имеет вид W ~ faC]+a, где О < а < 1.

5. Оптимальным способом построения адиабатических источников питания резонансного типа является использование кольцевого генератора в сочетании с системой авторегулирования длительности импульса подкачки.

Апробация работы: Основные положения и результаты диссертационной работы были докладывались и обсуждались на Всероссийской н.-т. конференции «Микроэлектроника и информатика-99», (Москва, МИЭТ, 1997 г.), 7 всероссийской межвузовской н.-т. конференции «Микроэлектроника и информатика-2000», (Москва, МИЭТ, 1997 г.), III международной н.-т. конференции «Электроника и информатика — XXI век». (Москва, МИЭТ, 2000 г.), Всероссийской н.-т. конференции «Микрои наноэлектроника-2001» (Звенигород, 2001 г.), I Всероссийской научно-технической дистанционной конференции «Электроника» (Москва, МИЭТ, 2001 г.), IV Международной н.-т. конференции «Электроника и информатика-2002» (Москва, МИЭТ, 2000 г.), International Conference «Microand nanoelectronics — 2003» ICMNE-2003 (Moscow-Zvenigorod, Russia, 2003), International Conference «Microand nanoelectronics — 2005» ICMNE-2005 (Moscow-Zvenigorod, Russia, 2005), а также на научных семинарах ФТИАН и кафедры ИЭМС МИЭТ.

Публикации: По результатам работы опубликовано 3 статьи, 6 научно-технических отчетов о НИР.

Структура и объем диссертации

. Диссертация состоит из введения, шести глав, заключения и списка используемой литературы. Диссертация изложена на 137 листах основного текста, содержит 61 рисунок и 11 таблиц к основному тексту, список литературы из 54 наименований. Приложений 1.

ВЫВОДЫ И ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ.

Общим результатом диссертационной работы является обобщение и развитие теории и базовых технических решений для построения адиабатических устройств производства информации, в которых радикальное снижение энергопотребления достигается за счет использования принципа термодинамической обратимости.

Объектом исследований являлись адиабатические базовые логические вентили и адиабатические источники питания (драйверы шин питания) на основе традиционных электронных приборов — МОП и биполярных транзисторов.

В работе получены следующие основные результаты:

1. Разработана классификация адиабатических базовых логических вентилей и адиабатических драйверов шин питания, позволяющая выделить наиболее перспективные технические решения и осуществить целенаправленный поиск новых способов их совершенствования. Предложен метод Fg-диаграмм, позволяющий оценить предельную энергетическую эффективность базовых логических вентилей.

2. Показано, что на современном технологическом уровне реализация асимптотически адиабатической логики конвейерного типа нецелесообразна. Наиболее перспективными являются квазиадиабатические базовые логические вентили 2п-2п2р (статического типа) и ECRL (динамического типа).

3. Методом компьютерного моделирования исследованы энергетические характеристики наиболее перспективных квазиадиабатических базовых логических вентилей. Обнаружен эффект аномально высокого энергопотребления в диапазоне низких частот. Показано, что этот эффект связан с протеканием короткого импульса сквозного тока при смене логического состояния и предложен метод его нейтрализации.

4. Установлены закономерности энергопотребления базовых логических вентилей и найдены численные значения характеризующих их параметров.

5. Показано, что частотные характеристики вентилей улучшаются при выполнении логического дерева на р-канальных транзисторах и использовании импульсов питания отрицательной полярности. Показано, что для заданных значений емкости линий связи и ширины каналов п (или р)-канальных транзисторов логического дерева существует оптимальное значение ширины каналов р (или п)-канальных нагрузочных транзисторов, при котором энергетические потери минимальны. Показано, что повышение скорости и энергетической эффективности адиабатических устройств производства информации может быть достигнуто за счет комбинации логических вентилей синхронного и асинхронного типа.

6. Установлено, что в асимптотически адиабатических вентилях 1п-1р на основе КМОП транзисторов зависимость энергопотребления от длительности этапов активации и дезактивации подчиняется закону W~Т~а, где а~. При одинаковых условиях вентили 1п-1р характеризуются в 13.25 раз меньшим энергопотреблением по сравнению с квазиадиабатическими вентилями 2п-2п2р или ECRL и не требует одновременной обработки прямых и инверсных лирических сигналов. Скорость обработки информации в логике 1п-1р может быть увеличена за счет частичного перекрытия фаз активации — дезактивации соседних вентилей. Предельное упрощение системы питания приводит к увеличению энергетических потерь примерно в 7 раз.

7. Предложен новый тип квазиадиабатического базового логического вентиля динамического типа (КАДЛ-n), который может быть реализован только на п-канальных МОП транзисторах и обладает повышенной помехоустойчивостью. По энергетической эффективности вентиль КАДЛ-n уступает вентилям 2п-2п2р и ECRL. Быстродействие вентилей КАДЛ-n может быть повышено за счет использования в качестве выпрямляющих элементов диодов Шоттки с минимальными топологическими размерами.

8. Исследованы основные характеристики драйверов шин питания со ступенчатым перезарядом нагрузочной емкости для наиболее общего варианта его построения. Получены аналитические соотношения, позволяющие определить важнейшие свойства ключей и их влияние на энергетические и топологические характеристики устройства. Найдены закономерности, которые позволяют определить энергетические потери, связанные с неадиабатическим перезарядом входных емкостей ключей. Установленные закономерности позволяют обоснованно выбрать основные параметры драйвера.

9. Исследованы основные характеристики драйверов шин питания резонансного типа. Обоснован выбор начальной фазы подкачки, а также сопротивления ключа в режиме полной подкачки, который близок к оптимальному. Показано, что автоматическое равенство частоты импульсов подкачки резонансной частоте контура, синхронизация выходных импульсов для произвольного числа фаз, а также возможность автоматической регулировки длительности импульсов подкачки, могут быть обеспечены путем выполнения драйвера резонансного типа по схеме кольцевого генератора. Разработана структурная схема 4-фазного драйвера на основе кольцевого генератора с системой автоматического регулирования угла подкачки в зависимости от величины потерь в контуре и методика его проектирования.

Полученные результаты могут быть использованы при создании устройств производства информации со сверхмалым энергопотреблением. Снижение потребляемой энергии достигается за счет снижения тактовой частотоы, поэтому при использовании МОП транзисторов с длиной канала ~ 2 мкм диапазон тактовых частот составляет 0,1. 10 МГц.

Адиабатические драйверы шин питания могут также применяться в традиционных устройствах обработки информации для перезаряда шин большой емкости (шин тактовых импульсов, шин выборки ЗУ, шин питания ПЗС и др.).

Показать весь текст

Список литературы

  1. Shannon С.Е. A mathematical theory of communication. Bell Syst. Techn. J., 1948, v.27, July, p.p. 379−423, — Oct., p.p. 623−656.
  2. Bennett C.H. The thermodynamics of computation a review. Int. J. of Theor. Phys, 1982, v.21, № 12, p.p. 905−945.
  3. Bennett C.H. Logical reversibility of computation. IBM J. of Res. & Dev., 1973, v.17, № 11, p.p. 525−532.
  4. Bennett C.H. Time/space trade-offs for reversible computation. SIAM J. Comput., 1989, v. 18, № 4, p.p. 766−776.
  5. Bennett C.H. Notes on the history of reversible computation. IBM J. of Res. & Dev., 1988, v.32,№ l, p.p. 16−23.
  6. Feinman R.P. Tiny computers obeying quantum mechanical laws. New directions in physics: The Los Alamos 40th annivessary ed N Metropolis, D.M.Kerr and G. Rota (Boston: Academic). 1987, p.p. 7−25.
  7. Landauer R. Information is physical. Physics Today, 1991, v.44, p.p. 23−29.
  8. Landauer R. Dissipation and noise immunity in computation and communication. Nature, 1988, v.335, 27 Oct., p.p. 779−784.
  9. Keyes, Landauer R. Minimal energy dissipation in logic. IBM J. of Res. & Dev., 1970, v.14, № 2, p.p. 152−157.
  10. Schneider T.D. Sequence logos, machine/channel capacity, Maxwell’s demon, and molecular computers: a review of the theory of molecular machines. Nanotechnology, 1994, № 5, p.p. 1−18.
  11. Zurek W.H. Algorithmic randomness, physical entropy, measurement and demon of choice. Theor. Division T-6, MS B288 Los Alamos Nat. Lab., Los Alamos, NM 87 545. March 12 1998.
  12. Gershenfeld N. Signal entropy and the thermodynamics of computation. IBM Syst. J., 1998, v.35, № 3&4, p.p. 557−586.
  13. Maxwell J.C. Theory of heat. London: Longmans, 1904.
  14. Skordos P., Zurek W.H. Maxwell’s demon, rectifiers, and Second Law. Am. J. Phys, 1992, v. 60, p. 876.
  15. Fredkin E, Toffoli T. Design principles for achieving high-performance submi-cron digital technologies. Proposal to DARPA. MIT lab. for Сотр. Science. 1978.
  16. Fredkin E, Toffoli T. Conservative logic. Int. J. of Theor. Phys, 1982, v.21, №¾, p.p. 219−253.
  17. Mercle R. C, Drexler K.E. Helical logic. Nanotechnology, 1996, v.7, p.p. 325 339.
  18. Drexler K.E. Molecular engineering: an approach to the development of general capabilities for molecular manipulation Proc. Natl. Acad. Sci. USA. 1981, v.78, p.p. 5275−5278.
  19. Валиев K. A, Старосельский В. И. Модель и свойства термодинамически обратимого логического вентиля. Микроэлектроника РАН. 2000, т.29, № 2, с.3−18.
  20. Athas W. C, Svensson J, Koller J. G, Tzartzanis N, Choi E.Y. Low-power digital systems based on adiabatic-swithing principles. IEEE Trans, on VLSI Syst, Dec. 1994, v. 2, N4, p.p. 398−408.
  21. Ferrary A. Adiabtic Switching. Adiabatic Logic. Wed Mar 20 12:14:22 PST1966.
  22. Younis S.G., Knight T.F. Asimptotically zero energy split-level charge recovery logic. Proc. of 1994 Int. Workshop on Low Power Design, p. 177−182, April1994.
  23. В.И. Реверсивная логика. Микроэлектроника РАН. 1999, т.28, № 3, с.213−222.
  24. Denker J.S. A Rewiew of Adiabatic computing. Proc. of 1994 Symp. on Low Power Electronics, San Diego, Oct. 1994.
  25. Maksimovic D., Oklobdzija V.G. Clocked CMOS adiabatic logic with single-AC power-supply, experimental result. 21st European SSC Conf., ESSCIRC'95, Lille, France, Sept. 1995.
  26. Seitz C.L., Frey A.H., Mattisson S., Rabin S.D., Speck D.A., van de Snepscheut J.L.A. Hot-clock nMOS. 1985 Chapel Hill Conf. on VLSI. Rockville, MD. Computer Science Press, 1985, p.p. 1−17.
  27. Himman R.T., Schlecht M.F. Recovered energy logic — a highly efficient alternative to today’s logic circuits. Proc. IEEE Power Electronics Specialists Conf. Record, 1993, p.p. 17−26.
  28. Himman R.T., Schlecht M.F. Recovered energy logic: a single clock AC logic. IWLPD'94 Workshop Proceedings, 1994, p.p.153−158.
  29. Dickinson G, Denker J.S. Adiabatic dinamic logic. Proc. IEEE 1994 CICC, p.p. 282−285, 1994.
  30. Dickinson G., Denker J.S. Adiabatic dinamic logic. IEEE J. of Solid St. Circ., 1995, v.30,№ 3, p.p. 311−315.
  31. Moon Y., Jeong D.-K. An efficient charge recovery logic circuit. IEEE J. SSC, Apr. 1996, v.31, N 4, p.p. 514−522.
  32. Tzartsanis N., Athas W.C. Energy recovery for the design of high-speed, low-power static RAMs. {nestoras, athas}@isi.edu URL: http//www.isi.edu.
  33. Athas W.C., Roller J.G., Svensson L."J". An energy-efficient CMOS line driver using adiabatic swithing. Inform. Sci. Inst., CA, Tech. Rep. ACMOS-TR-2, July1993.
  34. Athas W.C., Koller J.G., Svensson L."J". An energy-efficient CMOS line driver using adiabatic swithing. USC/ISI Tech. Rep. ACMOS-TR-2a, Nov3, 1993.
  35. В.И. Адиабатическая логика (обзор). Микроэлектроника РАН. 2002, т.31, № 1, с.42−65.
  36. Svensson L."J"., Koller J.G. Driving a capacitative load without dissipating fCV2. 1994 IEEE Symp. on Low Power Electronics. Digest of techn. Pap., p. 100−101,1994.
  37. Svensson L."J"., Koller J.G. Adiabatic charging without inductors, (интернет: {svensson, koller}@isi.edu).
  38. Tee L., Zheng L. Charge recovery and adiabatic swithing techniques in digital logic. EE241 Midterm report. Mar. 1997Л
  39. B.B., Старосельский В. И. Закономерности энергопотребления в квазиадиабатических логических вентилях. Микроэлектроника. 2003. Т.32, № 6. С. 323−332.
  40. Losev V. V, Staroselsky V.I. Regularities of power consumption in quasiadiabatic logical gates. Int. Conf. «Micro- and nanoelectronics 2003» ICMNE-2003. Moscow-Zvenigorod, Russia. Oct. 6−10, 2003. Abstracts, P2−130.
  41. B.B., Старосельский В. И. Квазиадиабатическая динамическая логика. Всероссийская н.-т. конф. «Микро- и наноэлектроника-2001». Г. Звенигород, 2001. Тез. Докл. Т.2, РЗ-7.
  42. В.В., Старосельский В. И. Квазиадиабатическая динамическая логика. I Всероссийская научно-техническая дистанционная конференция «Электроника». Секц.. Тез. Докл. 2001.
  43. В.В., Старосельский В. И. Исследование закономерностей энергопотребления в асимптотически адиабатических вентилях статического типа. Микроэлектроника. 2004. Т., № 2. С.
  44. В.В., Старосельский В. И. Исследование перспективных вариантов элементной базы адиабатических устройств производства информации. IV Международн. н.-т. конф. «Электроника и информатика-2002». М., МИЭТ, 1921.11.2002. Тез. докл. Ч.1,с.Ю0.
  45. Д.Л., Лосев В. В. Адиабатическая логика. 3-я межд. Н.-т. конф. «Электроника и информатика XXI век». Тез. Докл. М.: МИЭТ, 2000. Секц. 1, с. 4−5.
  46. Лосев В. В, Старосельский В. И. Новая квазиадиабатическая динамическая логика на п-канальных МДП транзисторах, Микроэлектроника. 2002. Т.31, № 3. С. 170−176.
  47. К., Фрейзер Д., Фичтнер У. и др. Технология СБИС: В 2-х кн. Кн.2. Пер. с англ./Под ред. С. Зи,—М.: Мир, 1986,—453 с.
  48. Исследование возможностей и методов создания термодинамически обратимых устройств обработки информации. Отчет о НИР МИЭТ. Шифр 870-ГБ-Б-53-ИЭМС. М. 1998. № ГР. 1 980 005 571. Научный руководитель Старосельский В.И.
  49. Разработка методов построения реверсивных логических СБИС. Отчет о НИР МИЭТ. Шифр 827-ГБ-П-54-ИЭМС. М. 1998. № ГР. 1 970 009 549. Научный руководитель Старосельский В.И.
  50. Принципы построения микроэлектронных термодинамически обратимых устройств обработки информации. Отчет о НИР МИЭТ. Шифр 9-ГБ-Б-53-ИЭМС. М. 1999. Научный руководитель Старосельский В.И.
  51. Методы построения элементной базы термодинамически обратимых устройств производства информации. Отчет о НИР МИЭТ. Шифр Иволга. М. 2000. № ГР 1 200 008 744. Научный руководитель Старосельский В.И.
  52. Исследование новых способов энергопитания цифровых УБИС — этап 2002 г. Отчет о НИР МИЭТ. Шифр Иволга-2. М. 2002. № ГР 1 200 207 900. Научный руководитель Старосельский В.И.
Заполнить форму текущей работой