Помощь в написании студенческих работ
Антистрессовый сервис

Приборы и методы пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов направленным потоком внеэлектродной плазмы

ДиссертацияПомощь в написанииУзнать стоимостьмоей работы

Г. Москва (г. Звенигород) — Третья конференция научно-образовательных центров программы «Фундаментальное исследование и высшее образование», г. Москва (2003 г.) — Конференция молодых ученых Научно-образовательного центра математических основ дифракционной оптики и обработки изображений, г. Самара, (11 июня 2003 г. 22−25 октября 2003 г.) — Международная конференция «Микрои наноэлектроника-2005… Читать ещё >

Приборы и методы пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов направленным потоком внеэлектродной плазмы (реферат, курсовая, диплом, контрольная)

Содержание

  • ГЛАВА 1. ИССЛЕДОВАНИЕ МЕХАНИЗМОВ ФОРМИРОВАНИЯ НАПРАВЛЕННЫХ ПОТОКОВ НИЗКОТЕМПЕРАТУРНОЙ ВНЕЭЛЕК-ТРОДНОЙ ПЛАЗМЫ ВЫСОКОВОЛЬТНЫМ ГАЗОВЫМ РАЗРЯДОМ
    • 1. 1. Анализ приборов, формирующих низкотемпературную плазму высоковольтного газового разряда
    • 1. 2. Исследование особенностей низкотемпературной внеэлектродной плазмы
    • 1. 3. Модификация конструкции высоковольтного газоразрядного прибора
    • 1. 4. Новые приборы, формирующие направленные потоки низкотемпературной внеэлектродной плазмы
      • 1. 4. 1. Многолучевой генератор газоразрядной плазмы
      • 1. 4. 2. Фокусатор газоразрядной плазмы
  • Выводы
  • ГЛАВА 2. МЕТОДЫ ЭКСПРЕСС — КОНТРОЛЯ И ПОВЫШЕНИЯ ЧИСТОТЫ ПОВЕРХНОСТИ
    • 2. 1. Трибометрический метод и устройство экспресс—контроля чистоты поверхности
    • 2. 2. Исследование особенностей трибометрического взаимодействия диэлектрических подложек при экспресс-контроле степени чистоты их поверхности
    • 2. 3. Анализ частиц внеэлектродной плазмы, взаимодействующих с поверхностью материала
    • 2. 4. Исследование механизма очистки поверхности в направленном потоке внеэлектродной плазмы
      • 2. 4. 1. Механизм очистки
      • 2. 4. 2. Модель очистки. Основные выражения
      • 2. 4. 3. Экспериментальное исследование зависимости степени чистоты поверхности от физических параметров плазмы
    • 2. 5. Методика трибометрического экспресс — контроля чистоты поверхности
    • 2. 6. Методика финишной очистки поверхности во внеэлектродной плазме
  • Выводы
  • ГЛАВА 3. ТЕОРЕТИЧЕСКОЕ И ЭКСПЕРИМЕНТАЛЬНОЕ ИССЛЕДОВАНИЕ МЕХАНИЗМА АДГЕЗИИ В СТРУКТУРАХ МЕТАЛЛ — ДИЭЛЕКТРИК ПОСЛЕ БОМБАРДИРОВКИ ИХ ПОВЕРХНОСТИ ИОННО-ЭЛЕКТРОННЫМ ПОТОКОМ
    • 3. 1. Механизм увеличения адгезии
    • 3. 2. Модель адгезии. Основные выражения
    • 3. 3. Экспериментальное исследование влияния на адгезию параметров бомбардировки ионно-электронным потоком
    • 3. 4. Методика формирования маскирующих слоев повышенной адгезионной прочности
  • Выводы
  • ГЛАВА 4. ТЕОРЕТИЧЕСКОЕ И ЭКСПЕРИМЕНТАЛЬНОЕ ИССЛЕДОВАНИЕ МЕХАНИЗМОВ ПРОСТРАНСТВЕННО СЕЛЕКТИВНОГО ТРАВЛЕНИЯ ДИЭЛЕКТРИЧЕСКИХ И ПОЛУПРОВОДНИКОВЫХ МАТЕРИАЛОВ ВО ВНЕЭЛЕКТРОДНОЙ ПЛАЗМЕ
    • 4. 1. Методика подготовки образцов для проведения эксперимента по травлению материалов во внеэлектродной плазме
    • 4. 2. Механизмы плазмохимического и ионно-химического травления материалов во внеэлектродной плазме
    • 4. 3. Аналитическое описание метода оценки скорости травления материалов
    • 4. 4. Алгоритм и программный комплекс для определения значений параметров режимов травления
    • 4. 5. Э кспериментальное исследование механизмов травления материалов во внеэлектродной плазме
      • 4. 5. 1. Исследование влияния температуры на скорость травления
        • 4. 5. 1. 1. Метод определения температуры поверхности в области её взаимодействия с потоком низкотемпературной плазмы
        • 4. 5. 1. 2. Экспериментальное исследование температурной зависимости скорости травления
      • 4. 5. 2. Эффект объемной модификации полимеров в направленном потоке низкотемпературной плазмы
    • 4. 6. Анализ качества травления диэлектрических и полупроводниковых оптических материалов
    • 4. 7. Методика формирования микрорельефа методом плазмохимического травления во внеэлектродной плазме
    • 4. 8. Методика формирования микрорельефа методом и онно-химического травления во внеэлектродной плазме
  • Выводы
  • ГЛАВА 5. ИССЛЕДОВАНИЕ МЕХАНИЗМА ДИФФУЗИИ АТОМОВ ПОЛУПРОВОДНИКА В ЖИДКОЙ ФАЗЕ МЕТАЛЛА ПРИ ОБЛУЧЕНИИ СТРУКТУРЫ АЛЮМИНИЙ-КРЕМНИЙ ПОТОКОМ ВНЕЭЛЕКТРОДНОЙ ПЛАЗМЫ
    • 5. 1. Исследование механизма взаимодействия атомов полупроводника с потоком «вакансий» в расплаве при бомбардировке его поверхности частицами внеэлектродной плазмы
    • 5. 2. Моделирование механизма аномальной растворимости атомов полупроводника в жидкой фазе металла
      • 5. 2. 1. Результаты решения системы уравнений диффузии методом прогонки
    • 5. 3. Экспериментальное исследование стимуляции диффузии кремния в расплаве алюминия
    • 5. 4. Методика создания микрорельефа на основе применения каталитической маски, формируемой во внеэлектродной плазме
  • Выводы

Диэлектрические и полупроводниковые материалы применяются во всех отраслях народного хозяйства. Формирование различного рода структур, включая оптических, на поверхности данных материалов осуществляется методами фотолитографии [1−3], литографии [4−6], на основе применения бихромированного желатина [7] и жидких фотополимеризующихся композиций [8], послойного наращивания фоторезиста [9], прямой абляции лазерным излучением [10,11], прямой лазерной записи с применением круговой записывающей лазерной системы [12], вакуумно-плазменного травления в высокочастотной (ВЧ) и сверхвысокочастотной (СВЧ) плазме [13,14].

Плазменное травление широко используется в микро-, наноэлектрони-ке [15,16], дифракционной оптике [17,18] и нанофотонике [19]. Развитие перечисленных отраслей определяется расширением спектра формируемых микро-, наноструктур, прецизионностью и высокой равномерностью вакуум-но-плазменной обработки широкоапертурных пластин. Возникают задачи увеличения аспектного отношения канавок получаемых структур [20−23].

Требуемое качество обеспечивается плазменными технологиями, ключевыми операциями которых являются [18] очистка поверхности и контроль степени её чистоты, создание маскирующих слоев, стойких в течение длительного периода времени к воздействию низкотемпературной плазмы, травление субстрата подложки, удаление маскирующего слоя.

Выполнение требований возможно за счет достижения прецизионной чистоты поверхности, повышенной адгезионной прочности маскирующих слоев к поверхности подложки, применения для анизотропного направленного) равномерного травления поверхности направленных по6 токов низкотемпературной плазмы, полного удаления маскирующих слоев после операции травления.

Прецизионная чистота поверхности подложек достигается методами ее финишной очистки и контроля. Существует широкий спектр методов и средств измерения чистоты поверхности [24−31]. Однако для проведения экспресс-контроля чистоты поверхности широкоформатных подложек, если требуется быстро и достоверно определить соответствие состояния контролируемой поверхности технологической чистоте, многие из существующих методов неприемлемы в связи со значительной их стоимостью и низкой производительностью. Предлагается применение в процессе измерения специальной технологической операции очистки поверхности зонда-индентора, а для калибровки параметров прибора — подложек с эталонным загрязнением поверхности. Кроме того, недостатками известных методов являются возможность проведения измерения только конкретного типа загрязнений на исследуемой поверхности, ее загрязнение в процессе контроля, нестабильность показаний приборов. Методы окунания, конденсации, изотопов, масс-спектроскопии вторичных ионов, электронная оже-спектроскопия (ЭОС), нейтронно-активационный анализ (НАА), спектроскопия обратного рассеяния Резерфорда (ОРР), растровая электронная микроскопия, электронная спектроскопия с целью химического анализа и т. д., обладая достаточной точностью контроля от 10″ 6 до Ю~10 г/см2 [29−31], являются аналитическими и не подходят для экспресс-контроля, применяемого при разработке и использовании новых методов финишной очистки поверхности подложек в низкотемпературной плазме, т.к. требуют значительных затрат времени и материальных ресурсов. Применение каждого из перечисленных методов не позволяет контролировать чистоту поверхности во всем интервале 10″ 6-НО" 10 г/см2, и, является оправданным только в конкретном его диапазоне, что и объясняет их многообразие. Вследствие этого, создание методов экспресс—контроля чистоты поверхности, отличающихся простой конструкцией применяемых приборов, малой длительностью проведения процесса измерения, не требующих применения специальных зондов и методов обработки их поверхности, отсутствием механических повреждений и изменений свойств поверхности исследуемой подложки, является актуальной проблемой.

Методы финишной очистки, обработки поверхности с целью увеличения адгезионной прочности напыляемых на нее тонких металлических пленок, также как и методы плазменного травления, основаны на использовании низкотемпературной плазмы, которая представляет собой широкоформатный плазменный поток с равномерным распределением частиц по его сечению. Движение частиц в потоке такой плазмы должно осуществляться в направлении нормали к поверхности обработки, то есть иметь анизотропный характер. В настоящее время методы плазменного травления и финишной очистки широко применяются для решения различного рода задач как современной оптики [4−23,32−41], так и микрои наноэлек-троники [42,43]. В качестве активного компонента в данных методах используется низкотемпературная плазма, формируемая тлеющим, ВЧ, СВЧ и магнетронным разрядами [43,44].

Генерация широкоформатных потоков плазмы тлеющим разрядом осуществляется источниками с полым катодом и анодом [45−50]. В этом случае возникает проблема обеспечения подавления неустойчивости в разряде, приводящей к нарушению его однородности. В работах [45,46] данная задача решается путем использования в качестве плазменного катода системы разрядов с микрополыми катодами, что позволяет создавать устойчивые тлеющие разряды значительных объема и площади. Однородность плазмы достигается применением систем с магнитным полем или комбинированным магнитным и электростатическим удержанием быстрых электронов в широкоапертурном полом катоде, генерацией, эмитирующей ионы плазмы в анодной полости [47,48]. Однако в последнее время, с целью удовлетворения требований развития электроники, появляются масштабные плазменные источники обработки пластин метровых размеров, для которых проблема обеспечения однородности плазмы и травления материалов остается не решенной [51].

Создание однородного, стабильного широкоформатного потока плазмы в источниках ВЧ, СВЧ и магнетронного разрядов представляет собой также сложную задачу [52−57]. Так, например, с уменьшением давления газа в рабочей камере увеличивается влияние неоднородности магнитного поля соленоида, создающего условия электронного циклотронного резонанса (ЭЦР) СВЧ газового разряда [58] на параметры формируемой плазмы, что приводит, в свою очередь, к неоднородности обработки пластин большого диаметра. Авторы работ [59−62]- предлагают повысить однородность плазмы путем изменения геометрии и конструктивных параметров источников ВЧ и СВЧ разрядов. Отмечено, что радиальная локализация участка с максимальной плотностью плазмы имеет тенденцию смещения от центра с уменьшением высоты плазменной камеры и повышением давления, т. е. 9 область вакуумной камеры, в которой возбуждается разряд, влияет на однородность плазмы. Минимизация влияния на однородность плазмы перечисленных факторов осуществляется расширением плазменной камеры, применением направляющих камер специальной геометрической формы [55], одновременным использованием для возбуждения ВЧ поля двух катушек — пла-нарной и вертикальной [54], применением специально разработанных кольцевых антенн и конструкций магнитных систем [52,53].

В использовавшихся до последнего времени установках плазмохи-мического травления плазма генерируется газовым разрядом в межэлектродном пространстве. Повышение однородности при этом связано с увеличением конструктивной сложности, энергоемкости источников плазмы данного типа [63−67] и не устраняет для всех рассмотренных вакуумно-плазменных систем и разрядов, формирующих плазму, общих для них недостатков:

— явление уменьшения скорости травления с увеличением относительных размеров поверхности [68,69], в том числе за счет ионного затенения и затенения нейтралами, эффект микрозагрузки [70];

— необходимость оптимизации параметров системы (ВЧ-смещение, мощность, давление и др.) [70];

— взаимодействие плазмы со стенками реактора, что приводит к образованию дополнительного источника полимера и увеличивает тенденцию к остановке травления [70];

— влияние материала, геометрии и свойств поверхности подложки на параметры газовых разрядов [71−73];

— загрязнение поверхности обработки малоактивными или неактивными частицами плазмы [74−76], изменяющее характеристики ее травления;

— проявление эффекта полимеризации при травлении во фторуглеродных газах [77,78];

— зависимость параметров заряженных частиц от режимов работы газоразрядного устройства.

Приведенные недостатки усложняют технологические процессы финишной очистки поверхности и травления материалов, делают трудоемким процесс определения значений параметров оптимальных режимов, затрудняют получение широкоформатных потоков плазмы, обеспечивающих равномерные очистку и травление по всей поверхности подложки независимо от ее размеров, и в итоге повышают себестоимость конечного продукта. В связи с этим возникает проблема поиска новых генераторов плазмы, свободных от перечисленных недостатков. При взаимодействии низкотемпературной плазмы с поверхностью обрабатываемого материала в область травления должны поступать только отрицательно заряженные частицы фторуглеродных газов, улучшающих анизотропию травления подложки и исключающих процесс аккумулирования на ней продуктов разряда [79]. Плазма (потоки плазмы) должна быть направленной и генерируемой за пределами электродов газоразрядного устройства. Заряженные и химически активные частицы в ней не должны взаимодействовать с боковыми стенками рабочей камерь1 (локализация плазмы), параметры заряженных частиц не должны зависеть от режимов работы газоразрядного устройства и должны иметь равномерное распределение по сечению плазменного потока. Такими свойствами, как следует из ряда публикаций [80−83 ], обладают ионно-электронные пучки, генерируемые высоковольтным газовым разрядом во внеэлектродном пространстве, а также плазма, которая может быть сформирована данным разрядом.

Впервые высоковольтный газовый разряд был открыт в ин.

11 ституте электросварки имени Е. О. Патона Академии наук УССР в 70-х годах прошлого столетия и успешно применен для сварки и в лазерной технике [84−86]. Позднее, в 1980;х годах авторы работ [81,82] расширили область его применения: разряд стал использоваться для пайки элементов полупроводниковых приборов. Тем не менее, в настоящее время отсутствуют реакторы, в которых направленные широкоформатные потоки низкотемпературной плазмы генерируются высоковольтным газовым разрядом за пределами электродного промежутка. Как следствие, отсутствуют и сведения о комплексных теоретических, экспериментальных исследованиях физических явлений, порождаемых этим классом объектов, в целях их применения для пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов. Указанное доказывает актуальность данной диссертации, её целей и задач.

Цель и задачи работы. Целью настоящей работы является создание приборов и методов пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов направленным потоком вне-электродной плазмы.

В соответствии с поставленной целью определены и основные задачи диссертации, а именно:

1. Теоретическое и экспериментальное исследование электрофизических характеристик высоковольтного газового разряда, физических основ его возникновения и самоподдержания;

2. Создание и апробирование газоразрядных приборов, формирующих направленные потоки внеэлектродной плазмы с заданным сечением.

3. Разработка методов оценивания величин изменения поверхностной кон.

12 центрации загрязнений, адгезии тонких металлических пленок, скоростей травления материалов и температуры их поверхности при обработке вне-электродной плазмойэкспериментальное исследование зависимостей перечисленных величин от физических факторов (тока разряда, ускоряющего напряжения, длительности, температуры обработки) для ряда материалов (диоксид кремния, карбид кремния, алмазоподобные пленки и полимеры);

4. Разработка методов очистки и увеличения адгезионной прочности маскирующих слоев во внеэлектродной плазме на основе трибометрического экспресс — контроля чистоты поверхности подложек;

5. Создание методов пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов направленным потоком внеэлектродной плазмы.

Научная новизна. При выполнении настоящей диссертационной работы впервые:

1. Построены модели взаимодействия частиц внеэлектродной плазмы с полимерами, гетероструктурами, атомно-молекулярными комплексами поверхности твердого тела, позволяющие оценить величины изменения поверхностной концентрации загрязнений, адгезии тонких металлических пленок, скорости плазмохимического и ионно-химического травления материалов, температуры их поверхности, высоты стравленного слоя полимера при обработке поверхности в плазме;

2. Предложен и апробирован новый класс газоразрядных приборов, формирующих направленные потоки внеэлектродной плазмы, для пространственно-селективного травления широкоформатных пластин диэлектрических и полупроводниковых оптических материалов;

3. Экспериментально исследованы зависимости величины изменения поверхностной концентрации загрязнений, адгезионной прочности тонких металлических пленок, скоростей травления диэлектрических и полупроводниковых оптических материалов (диоксида кремния, карбида кремния, алмазоподобных пленок и полимера) от физических параметров внеэлек-тродной плазмы;

4. Разработан прецизионный метод экспресс—контроля чистоты поверхности подложек, использующий для контроля чистоты коэффициент трения скольжения и позволяющий измерять чистоту поверхности в диапазоне 10″ 6 — Ю" 10 г/см2, отличающийся простой конструкцией применяемого прибора, малой длительностью проведения процесса измерения (5−15 с), не требующий применения специальных зондов и методов обработки их поверхности, не приводящий к механическому повреждению и изменению свойств исследуемой поверхности;

5. Разработаны методы финишной очистки поверхности, формирования маскирующих слоев с повышенной адгезионной прочностью, плазмохими-ческого и ионно-химического травления материалов во внеэлектродной плазме, позволяющие осуществлять очистку поверхности до уровня 10″ 9 г/см2, формировать маскирующие металлические слои со значением адгезионной прочности 25 Н/мм и пространственно-селективное травление поверхности на широкоформатных пластинах;

6. Обнаружен и экспериментально подтвержден эффект экстракции химически неактивных частиц плазмы из области травления материала;

7. Обнаружен эффект увеличения адгезии тонких металлических пленок в структуре металл-диэлектрик после бомбардировки ее поверхности потоком заряженных частиц внеэлектродной плазмы, объясняемый диссоциа.

14 цией молекул углеводородных загрязнений и образованием активных радикалов и химических соединений на поверхности раздела металл-диэлектрик;

8. Обнаружен эффект объемной модификации полимера, объясняющий с единой точки зрения кинетику травления полимерной матрицы и образования модифицированных слоев во внеэлектродной плазме;

9. Разработан метод формирования микрорельефа на поверхности полупроводниковых материалов на основе экспериментального исследования механизма их аномального растворения в расплаве при обработке структуры металл-полупроводник внеэлектродной плазмой.

Практическая ценность результатов работы определяется следующим:

— экспериментально доказана эффективность практического использования внеэлектродной плазмы для очистки поверхности подложек, увеличения адгезии тонких металлических пленок, пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов, изготовления микроструктур с помощью применения каталитической маски, разработаны соответствующие методы, реализация которых отличается низкими себестоимостью и энергоемкостью;

— разработанные метод и прибор экспресс-контроля чистоты поверхности являются неразрушающими, свободны от недостатков, характерных существующим зарубежным и отечественным аналогам;

— уменьшены требования к технологическому процессу пространственноселективного травления в низкотемпературной плазме на основе снижения процентного содержания кислорода в плазме, загрязнения продуктами травления поверхности материала, требований к чистоте рабочих газов и.

15 поверхности;

— показана возможность формирования микроструктур на пластинах большого диаметра (78 мм и более).

В целом, создание уникального класса приборов, теоретических основ и методов пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов направленным потоком вне-электродной плазмы позволяет получить комплексные технологические решения проблемы мелкосерийного и серийного изготовления широкого спектра микроструктур на пластинах большой апертуры.

На защиту выносятся:

— класс газоразрядных приборов, формирующих направленные потоки низкотемпературной внеэлектродной плазмыконцепция формирования низкотемпературной плазмы в виде направленного потока активных частиц в области поверхности материала, включающая модели взаимодействия частиц внеэлектродной плазмы с полимерами, гетероструктурами, атомно-молекулярными комплексами поверхности твердого тела, позволяющие оценить величины изменения поверхностной концентрации загрязнений, адгезии тонких металлических пленок, скорости плазмохимического и ионно-химического травления материалов, температуры их поверхности и высоты стравленного слоя полимератрибометрический метод неразрушающего экспресс-контроля чистоты поверхности подложек, использующий для контроля чистоты коэффициент трения скольжения;

— экспериментально установленные зависимости величин изменения поверхностной концентрации загрязнений, адгезионной прочности тонких металлических пленок, скоростей травления диоксида кремния, карбида кремния, алмазоподобных пленок и полимера от электрофизических параметров плазмыэкспериментально установленные закономерности влияния режимов очистки, формирования маскирующих слоев, травления на параметры тестовых микроструктур (высота микрорельефа, вертикальность стенок ступеньки микрорельефа, шероховатость) во внеэлектродной плазмеметоды пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов направленным потоком вне-электродной плазмыэкспериментальные результаты, подтверждающие эффект экстракции химически неактивных частиц из области травлениятеория формирования металлизированных маскирующих слоев, отличающихся особой стойкостью к плазменному воздействию, включающая механизм увеличения их адгезии к поверхности диэлектрика в результате обработки внеэлектродной плазмойтеоретическое описание процесса плазмохимического травления полимера, включающее механизм образования под действием внеэлектродной плазмы модифицированного слоя в объеме полимерной матрицы, дополняющее известную концепцию К-слоя.

Апробация работы. Основные результаты диссертации докладывались и обсуждались на следующих конференциях:

Всероссийская научно-техническая конференция с международным участием «Электроника и информатика-97», г. Москва (г. Зеленоград) (1997 г.) — Международная научно-техническая конференция «Актуальные проблемы анализа и обеспечения надежности и качества приборов, устройств и систем», г. Пенза, (1997 г.) — Международная конференция «Математическое моделирование», г. Самара, (2001 г.) — II Международный симпозиум «Аэрокосмические приборные технологии», г. Санкт-Петербург (2002 г.) — Международная конференция «Микрои наноэлектроника.

2003″, г. Москва (г. Звенигород) — Третья конференция научно-образовательных центров программы «Фундаментальное исследование и высшее образование», г. Москва (2003 г.) — Конференция молодых ученых Научно-образовательного центра математических основ дифракционной оптики и обработки изображений, г. Самара, (11 июня 2003 г. 22−25 октября 2003 г.) — Международная конференция «Микрои наноэлектроника-2005», г. Москва (г. Звенигород) — УН-ая Международная научно-техническая конференция «АВИА-2006», г. Киев (2006 г.) — Всероссийская научно-техническая конференции «Методы создания, исследования материалов, приборов и экономические аспекты микроэлектроники», г. Пенза (2006 г.) — Всероссийская научно-техническая конференции «Актуальные проблемы радиоэлектроники и телекоммуникаций», г. Самара (2006 г.) — Всероссийская научная конференция молодых ученых «Наука. Технологии. Инновации», г. Новосибирск (2006 г.) — УШ-ая Международная научно-техническая конференция «АВИА-2007», г. Киев (2007 г.) — Международная конференция «Микрои наноэлектроника-2007», г. Москва (г. Звенигород) — Научные семинары «Перспективные технологии и устройства микрои наноэлектроники» Физико-технологического института РАН (ФТИАН), Московского государственного института электронной техники (МИЭТ), Самарского государственного аэрокосмического университета и Института систем обработки изображений РАН (2007;2010 г. г.).

Публикации. По теме диссертации опубликовано 54 печатные работы, в том числе 1 монография и 8 патентов на изобретение. При этом 20 работ опубликовано в изданиях, рекомендованных Высшей аттестационной комиссией Российской Федерации для опубликования основных научных результатов диссертаций на соискание ученой степени доктора наук.

Объем и структура диссертации. Диссертация состоит из введения, пяти глав с краткими выводами, заключения, списка цитируемой литературы и приложения. Она изложена на 278 страницах машинописного текста и содержит 124 рисунка, 46 таблиц. В списке цитируемой литературы 262 наименования.

ВЫВОДЫ.

1. При облучении структуры металл-полупроводник частицами внеэлектродной плазмы в жидком металле возникает эффект аномальной растворимости атомов полупроводника, определяемый потоком «вакансий», концентрацией которых можно управлять изменением режимов облучения поверхности расплава ионно-электронным потоком.

2. Изменение электрических параметров и длительности облучения ионно-электронным потоком расплава позволяет, как замедлять, так и ускорять процессы диффузии атомов полупроводника в жидком металле, то есть управлять высотой микрорельефа.

3. Разработан метод оценки процесса аномального растворения атомов полупроводника в жидкой фазе металла при облучении структуры алюминий — кремний ионно-электронным потоком, позволяющий оптимизировать процессы легирования поверхности кремния пленками алюминия и создания микрорельефа на поверхности кремния.

4. Разработан метод формирования микрорельефа во внеэлектродной плазме с применением каталитической маски, применимый при условии, что ширина штриха должна быть намного больше толщины каталитической маски.

5. С помощью разработанного метода на поверхности кремния сформирован тестовый микрорельеф с периодом зон Т = 12 мкм.

6. Даны рекомендации по использованию предлагаемого подхода при формировании микрорельефа на полупроводниковых материалах с соответствующим значением максимально возможной концентрации атомов материала в расплаве.

ЗАКЛЮЧЕНИЕ

.

В диссертации разработаны теоретические основы, приборы и методы, обеспечивающие системную реализацию последовательности технологических процессов пространственно-селективного травления диэлектрических и полупроводниковых оптических материалов направленным потоком внеэлектродной плазмы для создания микроструктур на широкоформатных пластинах.

Основными результатами работы являются следующие:

1. Теоретически и экспериментально доказана способность высоковольтного газового разряда формировать широкоформатные потоки низкотемпературной плазмы диаметром 78 мм и более вне электродов газоразрядного устройства в диапазоне ускоряющих напряжений 0,3−6 кВ.

2. Экспериментально подтверждено возникновение и самоподдержание высоковольтного газового разряда на прямолинейных участках силовых линий электрического поля осевой зоны отверстия анода, установлена высокая степень равномерности распределения заряженных частиц по сечению плазменного потока (не хуже 98%), что позволяет обеспечить анизотропное и равномерное травление материалов на больших площадях (4775 мм и более).

3. Создана модификация газоразрядного прибора, обеспечивающего за счет введения новых конструктивных элементов отсутствие паразитных микроразрядов и стабильность параметров плазменного потока в диапазоне токов разряда 0−140 мА и ускоряющих напряжений 0,3−5 кВ.

4. Разработаны и экспериментально апробированы многолучевой генератор и фокусатор газоразрядной плазмы, формирующие потоки низкотемпературной плазмы с заданными формой (круг, прямоугольник, Б-образная), определяемой одинаковой геометрией отверстий, выполненных в аноде, катоде, изоляции и направлением, соответствующим кривизне поверхностей электродов при токах разряда 100−2000 мА, ускоряющих напряжениях 0,3−1кВ.

5. Экспериментально доказана эффективность использования внеэлек-тродной плазмы для очистки поверхности диэлектрических подложек, увеличения адгезии тонких металлических пленок, плазмохимического, ионно-химического травления материалов, разработаны соответствующие методы, реализация которых отличается низкими себестоимостью и энергоемкостью, позволяет производить очистку поверхности до уровня.

9 2.

10'у г/см при длительности облучения / =10 секунд, токе разряда / =3 мА, ускоряющем напряжении ?/= 1,2 кВ, достичь значения адгезионной л прочности 25 Н/мм при? = 3 минут, / = 80 мА, ?/= 4 кВ, обеспечить пространственно-селективное травление диэлектрических и полупроводниковых оптических материалов с максимальными значениями скоростей травления, достигаемыми при / = 80−140 мА, напряжении на электродах газоразрядного устройства — 0,8 и 2 кВ, температурах подложки — 360 440 К, процентном содержании кислорода в плазме — 0,8−2%, и неравномерностью травления по поверхности пластины не более 1%.

6. Разработаны трибометрический метод и прибор экспресс — контроля чистоты поверхности подложек, не требующие применения специальных зондов и методов обработки их поверхности. Оценка чистоты поверхности проводится, исходя из значения коэффициента трения скольжения, что расширило диапазон измеряемой степени чистоты поверхности подложек по сравнению с трибометром ИЧ-2 до 10″ 9 — Ю" 10 г/см2 и сократило время измерения до 5 — 15 с.

7. Построены модели, связывающие величины остаточной концентрации органических загрязнений, адгезионной прочности, скоростей травления материалов, температуры их поверхности и высоты стравленного слоя полимера с физическими параметрами газоразрядного прибора (напряжение на электродах, ток разряда, время обработки), а также с параметрами самих процессов обработки (отношение потоков частиц, степень заполнения поверхности активными частицами, коэффициенты десорбции, прилипания и распыления). Экспериментально доказана достоверность построенных моделей.

8. Разработаны алгоритмы и программный комплекс, позволяющие в режиме диалога определить значения параметров режимов травления.

9. Разработан метод формирования микроструктур на поверхности полупроводниковых материалов на основе экспериментального исследования механизма их аномального растворения в расплаве при обработке структуры металл-полупроводник внеэлектродной плазмой, реализация которого позволяет изменением режимов облучения и топологии металлизированного слоя управлять параметрами микрорельефа.

Показать весь текст

Список литературы

  1. , В.В. Материалы и методы для создания плоских фокусирующих элементов Текст. / В. В. Попов // Компьютерная оптика. 1987. — № 1. -С.160−163.
  2. Stern, М.В. Binary Optics Fabrication Текст. / In the Book: Micro-Optics: Elements, systems and applications. Edited by Hans Peter Herzig. Taylor and Francis Ltd. London, 1997. pp. 53−86.
  3. O’Shea, D.C. Diffractive Optics: Design, Fabrication and Test Текст. / D.C. О’Shea, T.J. Suleski, A.D. Kathman and D.W. Prather. SPIE Press. Washington, 2003.-237 p.
  4. Handbook of Microlithography, Micromachining and Microfabrication: Volume 1: Microlithography Текст. / edited by P. Ray-Choudhury. SPIE Press. Washington, 1997. 765 p.
  5. Unno, Noriyuki. Sub-100-nm three-dimensional nanoimprint lithography Текст. / Noriyuki Unno, Jun Taniguchi and Yoshiaki Ishii // J. Vac. Sci. and Technol. B. 2007. — Vol.25. — № 6. — P. 2361−2364.
  6. Ogino, Takumi. Sub-20-nm scratch nanolithography for Si using scanning probe microscopy Текст. / Takumi Ogino, Shinya Nishimura and Jun-ichi Shirakashi // Jap. J. Appl. Phys. Pt 1. 2007. — Vol.46. — № 10A. — P. 69 086 910.
  7. , M.A. Получение асферических волновых фронтов при помощи машинных голограмм Текст. / М. А. Голуб, Е. С. Живописцев, С.В. Карпе-ев, A.M. Прохоров, И. Н. Сисакян, В. А. Сойфер // ДАН СССР. 1980. -Т.253. — № 5. — С.1104−1108.
  8. , B.C. Текст. / B.C. Соловьев, Ю. Б. Бойко // Компьютерная оптика. 1990. — № 8. — С. 74−76.
  9. , А.В. Метод формирования дифракционного микрорельефа на основе послойного наращивания фоторезиста Текст. / А. В. Волков, Н.Л.
  10. , О.Ю. Моисеев, В.А. Сойфер. // Компьютерная оптика. 1996. -№ 16. — С.12−14.
  11. , А.Г. Методы формирования профиля поверхности дифракционных оптических элементов Текст. / А. Г. Полищук // Компьютерная оптика. 1998. -№ 16. — С.54−61.
  12. , К.А. Исследование кинетики травления полиметилметакрилата в низкотемпературной плазме Текст. / К. А. Валиев, К. Я. Мокроусов, А. А. Орликовский // Поверхность. 1987. — № 1. — С.53−57.
  13. Rybakov, О.Ye. DOE manufacturing technology based on plasma etching Текст. / О.Ye. Rybakov, G.V. Usplenjev, A.V. Volkov // Proceeding of 5 International Workshop DIP 94. 1994. — P. 80−81.
  14. , В.П. Основы наноэлектроники Текст. / В. П. Драгунов, И. Г. Неизвестный, В. А. Гридчин. Новосибирск: НГТУ, 2004. — 496 с.
  15. Методы компьютерной оптики Текст. / под ред. В. А. Сойфера. М.: Физматлит, 2003. — 688 с.
  16. Дифракционная компьютерная оптика Текст. / под ред. В. А. Сойфера. -М.: Физматлит, 2007. 736 с.
  17. , В.А. Дифракционные оптические элементы в устройствах на-нофотоники Текст. / В. А. Сойфер, В. В. Котляр, JI.JI. Досколович // Компьютерная оптика. 2009. — Т.ЗЗ. — № 4. — С.352−368.
  18. Stern, Margaret В. Dry etching for coherent refractive microlens arrays Текст. / Margaret B. Stern, Theresa R. Jay // Optical Engineering. 1994. -Vol. 33. — № 11. — P. 3547−3551.
  19. Lima, O. Creating micro- and nanostructures on tubular and spherical surfaces Текст. / О. Lima, L. Tan, A. Goel, M. Negahban and Z. Li // J. Vac. Sci. and Technol. B. 2007. — Vol.25. — № 6. — P. 2412−2418.
  20. , А.П. Применение трибометрического метода для контроля чистоты поверхности деталей и технологических сред Текст. / А. П. Перескокова, Л. В. Солодовникова, A.M. Акимова // Электронная техника.
  21. Сер. 7, Технология, организация производства и оборудование. — 1979. -вып. 1.-С. 143−151.
  22. , С.А. Исследование процесса растекания капли жидкости, наносимой на поверхность подложки Текст. / С. А. Бородин // Компьютерная оптика. 2006. — № 28. — С. 66−69.
  23. , С.А. Автоматизированное устройство для оценки степени чистоты подложки по динамическому состоянию капли жидкости, наносимой на ее поверхность Текст. / С. А. Бородин, А. В. Волков, H.JI. Казанский // Компьютерная оптика. 2006. — № 28. — С. 70−75.
  24. , В.И. Физические методы исследования поверхности твердых тел Текст. / В. И. Нефедов, В. Т. Черепнин. -М.: Наука, 1983. 257 с.
  25. , Д. Современные методы исследования поверхности Текст. / Д. Вудрав, Т. Делчар. Пер. с англ. М.: Мир, 1989. — 564 с.
  26. , С.Ф. Межфазная граница газ-твердое тело: структура, модели, методы исследования Текст. / С. Ф. Борисов. Екатеринбург: Физмат-лит, 2001.-643 с.
  27. Horn, Mark W. Comparison of etching tools for resist pattern transfer Текст. / Mark W. Horn, Mark A. Hartney, Roderick R. Kunz // Optical Engineering. 1993. — Vol. 32. — № 10. — P. 2388−2394.
  28. Fu, Yongqi. Investigation of diffractive-refractive microlens array fabricated by focused ion beam technology Текст. / Yongqi Fu, Bryan Kok Arm Ngoi // Optical Engineering. 2001. — Vol. 40. — № 4. — P. 511−516.
  29. Li, Chengde. Optical quality micromachining of glass with focused laser-produced metal plasma etching in the atmosphere Текст. / Chengde Li and Su-was Nikumb // Applied Optics. 2003. — Vol.42. — № 13. — P. 2383−2387.
  30. , A.A. Плазменные процессы в микро- и наноэлектронике. Часть 1. Реактивное ионное травление Текст. / А. А. Орликовский // Микроэлектроника. 1999. — Т.28. — № 5. — С. 344−362.
  31. , А.А. Плазменные процессы в микро- и наноэлектронике. Часть 2. Плазмохимические реакторы нового поколения и их применение в технологии микроэлектроники Текст. / А. А. Орликовский // Микроэлектроника. 1999. -Т.28. -№ 6. — С. 415−426.
  32. , А.П. Неустойчивость процесса реактивного магнетронного распыления Текст. / А. П. Бурмаков, В. А. Зайков, А. А. Лабуда, В. Е. Черный // Журнал прикладной спектроскопии. 1996. — Т. 63. — № 6. — С. 1049−1053.
  33. Schoenbach, К.Н. Microhollow cathode discharges Текст. / К.Н. Schoen-bach, R. Verhappen, Т. Tessnow, F.E. Peterkin, W.W. Byszewski // Appl. Phys. Lett. 1996. — Vol.68. — № 1. — P. 13−15.
  34. Stark, Robert H. Direct current high-pressure glow discharges Текст. / Stark Robert H., Schoenbach Karl H // Appl. Phys. 1999. — Vol.85. — № 4. — P. 20 752 080.
  35. , H.B. Генерация однородной плазмы в тлеющем разряде с полым анодом и широкоапертурным полым катодом Текст. / Н. В. Гаврилов, Д. Р. Емлин, С. П. Никулин // Письма в ЖТФ. 1999. — Вып. 25. — № 12. — С. 83−88.
  36. , С.П. Генерация однородной плазмы в тлеющих разрядах низкого давления Текст. / С. П. Никулин, С. В. Кулешов // Журнал технической физики. 2000. — Вып. 70. — № 4. — С. 18−23.
  37. , А.В. Несамостоятельный тлеющий разряд с полым катодом для широкоапертурных ионных источников Текст. / А. В. Визирь, Е. М. Оке, П. М. Щанин, Г. Ю. Юшков // Журнал технической физики. 1997. -Вып. 67.-№ 6.-С. 27−31.
  38. Pinnaduwage, Lai A. Enhanced electron attachment to highly excited molecules using a plasma mixing scheme Текст. / Pinnaduwage Lai A., Ding Weixing, McCorkle Dennis L // Appl. Phys. Lett. 1997. — Vol.71. — № 25. — P. 3634−3636.
  39. Пат. 5 449 977 США, МКИ H 01 J 37/00. Устройство и способ возбуждения плазмы с однородной плотностью потока Текст. / Matsushita Electric Industrial Co. Ltd. — № 326 360- Заявл. 20.10.94- Опубл. 12.09.95. приор. 14.4.92, № 4−98 056 (Япония) — НКИ 315/111.51.
  40. Yasuyoshi, Yasaka. Control of process uniformity by using electron cyclotron resonance plasma produced by multiannular antenna Текст. / Yasaka Ya-suyoshi, Nakamura Tomokazu // Appl. Phys. Lett. 1996. — Vol.68. — № 11. — P. 1476−1478.
  41. Заявка 19 726 663 Германия, МПК H 05 H 1/46. Устройство для возбуждения однородных СВЧ волн в плазме Текст. / Sung-Spitzl Н. -№ 19 726 663.0- Заявл. 23.06.97- Опубл. 28.01.99.
  42. , К.В. Порог развития ионизационноперегревной неустойчивости в плазме безэлектродного СВЧ-разряда Текст. / К. В. Александров, Д. В. Бычков, Л. П. Грачев, И. И. Есаков // Журнал технической физики. 2008. — Т.78. — № 7. — С.35−39.
  43. Sittsworth, J.A. Reactor geometry and plasma uniformity in a planar inductively coupled radio frequency argon discharge Текст. / J.A. Sittsworth, A.E. Wendt // Plasma Sources Sci. and Technol. 1996. — Vol.5. — № 3. — P. 429−435.
  44. Yoko, Uedo. Role of peripheral vacuum regions in the control of the electron cyclotron resonance plasma uniformity Текст. / Uedo Yoko, Muta Hiroshi, Kawai Yoshinobu // Appl. Phys. Lett. 1999. — Vol.74. — № 14. — P. 1972−1974.
  45. Korzec, D. Scaling of microwave slot antenna (SLAN): a concept for efficient plasma generation Текст. / D. Korzec, F. Werner, R. Winter, J. Engemann // Plasma Sources Sci. and Technol. 1996. — Vol.5. — № 2. — P. 216−234.
  46. Stittsworth, J.A. Striations in a radio frequency planar inductively coupled plasma Текст. / J.A. Stittsworth, A.E. Wendt // IEEE Trans. Plasma Sci. -1996.-Vol.24.-№ 1.-P. 125−126.
  47. Заявка 1 739 717 ЕПВ, МПК Н 01 J 37/32, Н 05 Н1/46. Плазменный генератор с наклонной антенной Текст. / Alter S. г. 1., Veronesi Paolo, Leonelli Cristina, Garuti Marco. № 5 425 464.4- Заявл. 30.06.05- Опубл. 03.01.07.
  48. Пат. 7 175 875 США, МПК В 05 D 3/06, Н 05 Н 1/24. Метод и устройство для плазменной обработки Текст. / Hitachi, Ltd., Nakano Hiroyuki, Nakata Toshihiko. -№ 10/75 244- Заявл. 15.02.02- Опубл. 13.02.07- НПК 427/10.
  49. Hyun-Ho, Doh. Effects of bias frequency on reactive ion etching lag in an electron cyclotron resonance plasma etching system Текст. / Doh Hyun-Ho, Yeon Chung-Kyu, Whang Ki-Woong // J. Vac. Sci. and Technol. A. 1997. -Vol.15. -№ 3.-Ptl. -P. 664−667.
  50. , A.A. Исследование процесса изотропного плазмохимиче-ского травления пленок диоксида кремния Текст. / А. А. Ковалевский, B.C. Малышев, В. В. Цыбульский, В. М. Сорокин // Микроэлектроника. -2002. -Т.31. — № 5. С.344−349.
  51. , М.Г. Плазменные методы формирования трехмерных структур УБИС Текст. / М. Г. Путря. М.: МИЭТ, 2005. — 128 с.
  52. Woodworth, J.R. Effect of bumps on the wafer on ion distribution functions in high-density argon and argon-chlorine discharges Текст./ J.R. Woodworth, B.P. Aragon, T.W. Hamilton // Appl. Phys. Lett. 1997. — Vol.70. — № 15. — P. 1947−1949.
  53. Hebner, G.A. Influence of surface material on the boron chloride density in inductively coupled discharges Текст. / G.A. Hebner, M.G. Blain, T.W. Hamilton // J. Vac. Sci. and Technol. A. 1999. — Vol.17. — № 6. — P. 3218−3224.
  54. Koji, Miyata. CFX radical generation by plasma interaction with fluorocarbon films on the reactor wall Текст. / Miyata Koji, Hori Masaru, Goto Toshio // J. Vac. Sci. and Technol. A. 1996. — Vol.14. — № 4. — P. 2083−2087.
  55. Kenji, Komine. Residuals caused by the CF4 gas plasma etching process Текст. / Komine Kenji, Araki Nobusige, Noge Saturn, Ueno Hiroki, Hohkawa Kohji // Jap. J. Appl. Phys. 1996. — Vol.35. — № 5b. — Pt.l. — P. 3010−3014.
  56. McLane, G.F. Dry etching of germanium in magnetron enhanced SF6 plasmas Текст. / G.F. McLane, M. Dubey, M.C. Wood, K.E. Lynch // J. Vac. Sei. and Technol. B. 1997. Vol.15. — № 4. — P. 990−992.
  57. Stoffels, W.W. Polymerization of fluorocarbons in reactive ion etching plasmas Текст. / W.W. Stoffels, E. Stoffels, K. Tachibana // J. Vac. Sei. and Technol. A. 1998. — Vol.16. — № 1. — P. 87−95.
  58. Schwarzenbach, W. High mass positive ions and molecules in capacitively-coupled radio-frequency CF4 plasmas Текст. / W. Schwarzenbach, G. Cunge, J.P. Booth // J. Appl. Phys. 1999. — Vol.85. — № 11. — P. 7562−7568.
  59. Mieno, T. Generation and extinction characteristics of negative ions in pulse-time-modulated electron cyclotron resonance chlorine plasma Текст. / Т. Mieno, S. Samukawa // Plasma Sources Sei. and Technol. 1997. — Vol.6. -№ 3. — P. 398−404.
  60. , А.Н. Электронно-лучевая установка для пайки элементов полупроводниковых приборов Текст. / А. Н. Комов, А. И. Колпаков, Н. И. Бондарева, В. В. Захаренко // Приборы и техника эксперимента. 1984. — № 5. — С. 218−220.
  61. , И.В. Текст. / И. В. Вагнер, Э. И. Болгов, В. Ф. Гракун, В.Л. Гох-вельд, В. А. Кудлай //Автоматическая сварка. — 1972. — № 12. С. 27.
  62. Handle, S.K. Method for triggering high voltage vacuum discharges Текст. / S.K. Handle, F.R. Nordhage // J. Appl. Phys. 1997. — Vol.81. — № 9. — P. 6473−6475.
  63. Донко, 3. Высоковольтный разряд с полым катодом: применение в лазерной технике и моделирование движения электронов Текст. / 3. Донко, К. Рожа, Л. Шалаи // Физика плазмы. 1998. — Т.24. — № 7. — С. 637−648.
  64. , A.A. Диагностика in situ плазменных технологических процессов микроэлектроники: современное состояние и ближайшие перспективы. Часть I Текст. / A.A. Орликовский, К. В. Руденко // Микроэлектроника. 2001. — Т.30. — № 2. — С.85−105.
  65. , A.A. Диагностика in situ плазменных технологических процессов микроэлектроники: современное состояние и ближайшие перспективы. Часть III Текст. / A.A. Орликовский, К. В. Руденко // Микроэлектроника. 2001. — Т.30. -№ 5. — С. 323−344.
  66. , В.Н. Спектроскопия низкотемпературной плазмы Текст. / В. Н. Очкин. М.: Физматлит, 2006. — 471 с.
  67. , К. В. Малоракурсная 2с1-томография пространственных неод-нородностей плазмы в технологических реакторах микроэлектроники Текст. / К. В. Руденко, A.B. Фадеев, A.A. Орликовский // Труды ФТИАН: Наука.-2005.-Т. 18.-С. 208−218.
  68. , Л.А. Движение заряженных частиц в электрических и магнитных полях Текст. / Л. А. Арцимович, С. Ю. Лукьянов. М.: Наука, 1972.-224 с.
  69. , А.Н. Теплообмен неравновесной плазмы с поверхностью Текст. / А. Н. Магунов. -М.: Физматлит, 2005. 312 с.
  70. , В.H. Физико-химические процессы в технологии РЭА Текст. / В. Н. Черняев. М.: Высшая школа, 1987. — 376 с.
  71. , Г. Электроника дефектов в полупроводниках Текст. / Г. Ма-таре. Под ред. С. А Медведева. М.: Мир, 1974. — 463 с.
  72. Розанов, J1.H. Вакуумная техника Текст. / JI.H. Розанов. М.: Высшая школа, 2007. — 391 с.
  73. E.Oks, A. Vizir, and G. Yushkov, Rev. Sci. Instrum. 69, 853 (1998).
  74. Патент США US 3 831 052 A, 20.08.1974.
  75. Патент РФ Плазменный электронный источник ленточного пучка Текст. / № 2 231 164, С1, МПК Кл. Н Ol J 37/077, 20.06.2004.
  76. , С.В. Разработка приборов анализа и повышения степени чистоты поверхности диоксида кремния Текст.: дисс. канд. техн. наук: 01.04.01: защищена 24.12.08: утв. 10.04.09 / Кричевский Сергей Васильевич. Самара, 2008. — 142с.
  77. , Ю.Г. Технология обработки поверхности в микроэлектронике Текст. / Ю. Г. Полтавцев, A.C. Князев. Киев: Тэхника, 1990. — 192 с.
  78. , П. Высоковакуумное производство в микроэлектронной промышленности Текст. / П. Дюваль. М.: Мир, 1992. — 262с.
  79. , М. Справочник по триботехнике Текст. В Зт. Т.1. Теоретические основы. / Под общ. ред. М. Хебды, A.B. Чичинадзе. М.: Машиностроение, 1989. — 400 с.
  80. , И.В. Основы расчетов на трение и износ. Текст. / И. В. Крагельский, М. Н. Добыч ин, B.C. Комбалов. М.: Машиностроение, 1977. — 526с.
  81. B.А. Колпаков, А. И. Колпаков, C.B. Кричевский, H.A. Ивлиев // Компьютерная оптика. -2005. № 28. — С.76−79.
  82. , В.Ю. Ионно-стимулированное травление Текст. / В.Ю. Кире-ев, Д. А. Назаров, В. И. Кузнецов // Электронная обработка материалов.1986. № 6. — С40−43.
  83. , В.Ю. Электронно-стимулированное травление Текст. / В. Ю. Киреев, М. А. Кремеров // Электронная техника. Сер. З, Микроэлектроника. 1985. -В.151.-СЗ-12.
  84. , И. Физические основы микротехнологии Текст. / И. Броудай, Дж. Мерей // Под ред. A.B. Шальнова. М.: Мир, 1985. — 496 с. (Brodie I., Muray J. The Physics of Microfabrication. N.Y., Plenum Press, 1982).
  85. Spool, A.M. Studies of adhesion by secondary ion mass spectrometry Текст. / A.M. Spool // IBM J. Res. and Dev. 1994. — V.38. — № 4. — P. 391 411.
  86. Sasaki, Minoru. Scanning force microscope technique for adhesion distribution measurement Текст. / Sasaki Minoru, Hane Kazuhiro, Okuma Shi-geru, Torii Akihiro // J. Vac. Sci. and Technol. B. 1995. — V.13. — № 2. — P. 350−354.
  87. , Г. И. Автоматизированный зонд для определения адгезионных свойств поверхности Текст. / Г. И. Щербина, Ю. П. Топоров, А. В. Акимов, И. Н. Алейникова // Приборы и техника эксперимента. 1999. -№ 3. — С. 143−145.
  88. Wei, Chenyu. Adhesion and reinforcement in carbon nanotube polymer composite Текст. / Chenyu Wei // Appl. Phys. Lett. 2006. — V.88. — № 9. — P. 93 108/1−93 108/3.
  89. , Б.В. Адгезия твердых тел Текст. / Б. В. Дерягин, Н.А. Кро-това, В. П. Смилга. М.: Наука, 1973. — 260 с.
  90. Chopra, K.D. Thin Film Fenomena Текст. / K.D. Chopra. N. Y., Mc. Graw-Hill, 1969. -P.313.
  91. , В.А. О действии тлеющего разряда на поверхность стекла Текст. / В. А. Берштейн, В. П. Зайцева, В. В. Никитин, В. А. Жаров // Физика и химия обработки материалов. 1979. — № 4. — С. 147−150.
  92. , В.В. Влияние способа подготовки поверхности стекла на адгезию к нему вакуумных конденсатов индия Текст. /В.В. Коваленко, Т. П. Упит // Физика и химия обработки материалов. 1983. — № 6. — С.77−80.
  93. , В.В. Влияние плазмы тлеющего разряда на адгезию металлических конденсатов к диоксиду кремнию и материалам на его основе Текст. / В. В. Коваленко, С. А. Варченя // Физика и химия обработки материалов.- 1988.-№ 1.-С.63−68.
  94. , А.И. Технология производства полупроводниковых приборов Текст. / А. И. Курносов, В. В. Юдин. М.: Высшая школа, 1974. — 400 с.
  95. , В.Т. Малогабаритная прецизионная разрывная машина Текст. / В. Т. Грицина, Н. И. Поляков, Ю. Б. Полторацкий // Заводская лаборатория. 1973. — № 2. — С.235−236.
  96. , В.М. Сравнение основных источников углеводородных загрязнений при вакуумном осаждении тонких пленок. Получение и свойства тонких пленок Текст. / В. М. Коптенко, Ю. Г. Кононенко // Сборник научных трудов. Киев: Наукова думка, 1982. — С.5−12.
  97. , Б.С. Вакуумная техника в производстве интегральных схем Текст. / Б. С. Данилин. М.: Энергия, 1972. — 256 с.
  98. , У. Микролитография. Принципы, методы, материалы Текст. / У. Моро / Под ред. Р. Х. Тимерова. М.: Мир, 1990. — 4.2. — 632 с. (Wayne М. Moreau. Semiconductor Lithography. Principles, Practices, and Materials. N.Y. and London, Plenum Press, 1988).
  99. , А.И. Метод определения чистоты поверхности подложек Текст. / А. И. Колпаков // Электронная промышленность. 1993. — № 4. -С.37−39.
  100. Физико химические свойства элементов Текст. / Справочник под ред. Г. В. Самсонова. — Киев: Наукова думка, 1965. — 807 с.
  101. , Б.Ф. Физико-химические основы смачивания и растекания Текст. / Б. Ф. Семм, Ю. В. Горюнов. М.: Химия, 1976. — 232 с.
  102. , Ф.Ф. Электронные процессы на поверхности полупроводников при хемосорбции Текст. / Ф. Ф. Волькенштейн. М.: Наука, 1987.-432 с.
  103. , Э.С. О кинетике процесса образования соединения при сварке в твердом состоянии однородных металлов Текст. /Э.С. Каракозов, Б. А. Карташкин, М. Х. Шоршонов // Физика и химия обработки материалов. 1968. — № 3. — С.113−122.
  104. , А.Я. Торможение электронов в некоторых металлах и полупроводниках Текст. / А. Я. Вятскин, А. Ф. Махов // ЖТФ. 1958. — Т.28. — № 4. — С.740−747.
  105. , В.П. Потери энергии киловольтными электронами при простреле слоев твердого тела Текст. / В. П. Афанасьев, A.B. Лубенченко,
  106. A.A. Рыжков // Поверхность. 1996. — № 1. — С.6−17.
  107. , Г. В. О возможных механизмах образования и распределение дефектов в кремнии и германии при электроннолучевом нагреве Текст. / Г. В. Дудко, М. А. Колегаев, Д. И. Чередниченко // Физика и химия обработки материалов. 1970. — № 2. — С.25−29.
  108. Solid state physics Текст. / Eds Seits F., Turnbull D. — London, Acad. Books Ltd., 1956. 468 pp.
  109. Радиационно активируемые процессы в кремнии Текст. / Под ред. Ш. А. Вахидова. — Ташкент: Фан УзССР, 1977. — 170 с.
  110. , А.Д. Справочник по линейным уравнениям математической физики Текст. / А. Д. Полянин. М.: Физматлит, 2001. — 576 с.
  111. Физико химические свойства полупроводниковых веществ Текст.
  112. V.D. Paranin, M.S. Polikarpov // Book of abstracts of international conference «Micro- and nanoelectronics 2007», Zvenigorod. — 2007. — P. 1−44.
  113. , У. Микролитография Текст. / У. Моро. 4.1: Пер с англ. — М.: Мир, 1990.-605 с.
  114. , К.А. Механизм плазмохимического травления полимеров Текст. / К. А. Валиев, Т. М. Мхвиладзе, М. Е. Сарычев // Доклады АН СССР. 1985. — Т. 283. — № 2. — С.366−369.
  115. , В.Ю. Плазмохимическое и ионно-химическое травление микроструктур Текст. / В. Ю. Киреев, Б. С. Данилин, В. И. Кузнецов. М.: Радио и связь, 1983. — 126 с.
  116. Flamm, D.L. Measurements and mechanisms of etchant production during the plasma oxidation of CF4 and С2Бб Текст. / D.L. Flamm // Solid State Tech-nol. — 1979. — V.22. — № 4. P. 109−116.
  117. Gerlach-Meyer, V. Ion enhanced gas-surface reactions: A kinetic model for the etching mechanism Текст. / V. Gerlach-Meyer // Surface Sci. 1981. -V.103. -№ 213. — P.524−534.
  118. , С. Элементарные процессы в плазме газового разряда Текст. / С. Браун. М.: Госатомиздат, 1961. — 323 с.
  119. Yasunori, Ohtsu. Spatial structure of electrons and fluorine atoms in a CF4 RF magnetron plasma Текст. / Ohtsu Yasunori, Matsuo Hitoshi, Fujita Hiro-haru // Plasma Sources Sci. and Technol. 1996. — Vol.5. — № 2. — P. 344−348.
  120. Kouji, Kaga. Spatial structure of electronegative Ar/CF4 plasmas in capacitive RF discharges Текст. / Kaga Kouji, Kimura Takashi, Imaeda Takao, Ohe Kazuyuki // Jap. J. Appl. Phys. 2001. — Pt.l. — V.40. — № 10. — P. 6115−6116.
  121. , В.Ф. Масштабирование скорости травления и подобие профилей при плазмохимическом травлении Текст. / В. Ф. Лукичев, В.А. Юн-кин // Микроэлектроника. 1998. — Т.27. — № 3. — С. 229−239.
  122. Coburn, J.W. Ion-surface interactions in plasma etching Текст. / J.W. Coburn, H.F. Winters, C.J. Chuang // J. Appl. Phys. 1977. — V.48. — № 8. — P. 3532−3540.
  123. Harsberger, W.R. Spectroscopic analysis of RF plasmas Текст. / W.R. Harsberger, R.A. Porter // Solid State Technol. 1979. — V.22. — № 4. — P.90−103.
  124. Horiike, Y. Dry etching: an overview Текст. / Y. Horiike // Jap. Annual Reviews in Electronics, Computers and Telecommunicated Semiconductor Technologies. 1983. — V.8. -P. 55−72.
  125. Poulsen, R.G. Importance of temperatura and temperature control in plasma etching Текст. / R.G. Poulsen, M. Brochu // Si Bricond Silicon. 1973. — Nj.
  126. , А.Н. Методы решения некорректных задач Текст. / А. Н. Тихонов, В .Я. Арсенин. М.: Наука, 1974. — 224 с.
  127. , О.М. Текст. / О. М. Алифанов // ИФЖ. 1983. — Т.45. -№ 5. — С.742−752.
  128. , П.Н. Текст. / П. Н. Вабищевич, П. А. Пулатов // ИФЖ. -1986. Т.51. — № 3. — С.470−474.
  129. , О.М. Обратные задачи теплообмена Текст. / О.М. Алифа-нов. -М.: Машиностроение, 1988. — 279 с.
  130. Carslaw, H.S. Conduction of heat in solids Текст. / H.S. Carslaw, J.C. Jaeger. — Oxford: Clarendon Press, 1956. (Карслоу, Г. Теплопроводность твердых тел [Текст] / Г. Карслоу, Д. Егер. — М.: Наука, 1964).
  131. , А.Н. Уравнения математической физики Текст. / А. Н. Тихонов, A.A. Самарский. М.: Изд-во МГУ, 1999. — 798 с.
  132. , В.А. Интегральные преобразования и операционное исчисление Текст. / В. А. Диткин, А. П. Прудников. М.: Наука, 1974. — 542 с.
  133. Таблицы физических величин. Справочник Текст. / Под общ. ред. И. К. Кикоина. -М.: Атомиздат, 1976. -1008 с.
  134. , Р.Ш. Текст. / Р. Ш. Малкович // Письма в ЖТФ. 2002. -Т.28. -Вып.21. -С.91−94.
  135. Попов, BJEC Текст. / BJC Попов // Физика и химия обработки материалов. 1967. -№.4. -С.11−24.
  136. , ГМ. Релаксационные свойства полимеров Текст. / ГМ. Бартенев, АР. Бартенева.-М.: Химия, 1992. -384 с.
  137. Френкель, ЯП Кинетическая теория жидкостей Текст./ ЯП Френкель. -Л: Наука, 1975. С. 380,381,390.
  138. , ЯИ. Введение в теорию металлов Текст./ ЯИ Френкель. -Л: Нау-ка, 1972.-250с.
  139. , ПС. Физика полупроводников Текст. / ПС. Киреев. М: Высшая школа, 1975.- 584с.
  140. , ВВ. Микролегарование кремния с помощью электронно-лучевого нагрева Текст./ВБ.Юдин//Элекфон.обрабогкаматериалов.-1977.- № 3(33). С. 27−30.
  141. Болтакс, Б Л Диффузия и точечные дефекты в полупроводниках Текст. / Б. И Бол-такс.- Л: Наука, 1972. 379 с.
  142. , B.C. Механизмы образования и миграции дефектов в полупроводниках Текст. /B.C.Вавилов, АЕ. Киев, ОР.Ниязова. М: изд. Наука, 1981. — 368 с.
  143. Валиев, КА Физические основы субмикронной литографии в микроэлектронике Текст. / К, А Валиев, В, А Раков. М: Радио и связь, 1984. — 350 с.
  144. Справочник химика Текст. /М: Химия, 1966. Т.1. -1071 с.
  145. Физический энциклопедический словарь Текст. / М.: Сов. энциклопедия, 1962. -Т.2.- 608 с.
  146. Комов, АН Текст. / АН Комов, АЛ Колпаков, БД Рафаевич // Электронная техника. -1979. серия 7. — вып.5(96). — С.7−10.
  147. Маслов, АА Технология и конструкции полупроводниковых приборов Текст. / А, А Маслов. М: Энергия, 1970. — 296 с.
  148. Тихонов, АН Уравнения математической физики Текст. / АН. Тихонов, АА Самарский. М: Наука, 1972. — 659 с.
  149. , Г. И. Повышение точности решений разностных схем Текст. / Г И. Мар-чук, ВБ. Шайдуров. М: Наука, 1979.
  150. Самарский, АА Теория разностных схем Текст. / АА Самарский. М: Наука, 1977. ¦
Заполнить форму текущей работой