Помощь в написании студенческих работ
Антистрессовый сервис

Счетчики. 
Основы электроники

РефератПомощь в написанииУзнать стоимостьмоей работы

Представление счетчика цепочкой счетных триггеров справедливо как для суммирующего, так и для вычитающего вариантов, поскольку закономерность, но отношению частот переключения разрядов сохраняется при просмотре таблицы как сверху вниз (прямой счет), так и снизу вверх (обратный счет). Различия при этом состоят в направлении переключения предыдущего разряда, вызывающего переключение следующего. При… Читать ещё >

Счетчики. Основы электроники (реферат, курсовая, диплом, контрольная)

Счетчиком называется узел для подсчета числа входных сигналов и хранения двоичного кода числа подсчитанных сигналов.

Счетчики — это конечные автоматы, внутреннее состояние которых определяется только количеством сигналов «1», пришедших на выход. Сигналы «0» не изменяют их внутреннего состояния.

Счетчики делятся на суммирующие, вычитающие, реверсивные, т. е. позволяющие как прибавить, так и вычесть очередную пришедшую на вход единицу.

Модулем счета (или коэффициентом пересчета) Ксч называют количество поступивших на вход единиц, которое возвращает счетчик в исходное состояние.

Элементарными конечными автоматами в счетчиках являются триггеры. Количество триггеров, необходимое для реализации счетчика или пересчетной схемы, равно:

Счетчики. Основы электроники.

где т — ближайшее большее целое число.

Как и всякие дискретные автоматы, счетчики могут быть асинхронными и синхронными (тактируемыми).

Рассмотрим работу суммирующего счетчика с KCM = 8. Для синтеза необходимы m = log2KCM =log28 = 3 триггера, соответствующие трем разрядам двоичного выходного числа. Обозначим Q3 — старший, a Q, — младший разряды. Выходные сигналы кодируются предельно просто: г/3 = Q3, У2 — 0,2 и У — Q- Входной сигнал может быть равен только «1», так как нуль не изменяет состояния счетчика, поэтому таблица переключений (табл. 3.19) содержит только х = 1.

Таблица 3.19

Л?

<2з.

Qh

Qi

or1

Qr1

Qf+I

0 — исходное состояние.

Из табл. 3.19 видно, что триггер младшего разряда переключается от каждого входного сигнала xt = 1 (нули и единицы в столбце Q{+1 чередуются один за другим). В столбце следующего разряда Q2+1 нули и единицы чередуются парами, затем (разряда Q3+1) — четверками и т. д. (если увеличивать /Ссч и число разрядов). Таким образом, частота переключений каждого следующего триггера уменьшается вдвое по сравнению с частотой переключения предыдущего. Следовательно, счетчик можно построить как цепочку последовательно включенных счетных триггеров (см. Г-триггер).

На рис. 3.34, а приведена схема суммирующего счетчика, синтезированная на двухступенчатых /К-триггерах, работающих в счетном режиме. Подсчитываемые единицы поступают на вход С первого триггера, а на выходных шинах формируется двоичный код у^у^у числа сосчитанных единиц. Триггеры соединены так, что сигнал с прямого выхода предыдущего триггера поступает на вход С последующего. Так как на входы J и К всех триггеров постоянно поданы единицы, каждый триггер переключается в противоположное состояние в момент спада импульса, поданного на его вход С, но после задержки ?зд, определяющейся временем переходных процессов в триггере (рис. 3.34, 6).

Асинхронный счетчик с последовательным переносом (а) и временная диаграмма его работы (б).

Рис. 334. Асинхронный счетчик с последовательным переносом (а) и временная диаграмма его работы (б)

Представление счетчика цепочкой счетных триггеров справедливо как для суммирующего, так и для вычитающего вариантов, поскольку закономерность, но отношению частот переключения разрядов сохраняется при просмотре таблицы как сверху вниз (прямой счет), так и снизу вверх (обратный счет). Различия при этом состоят в направлении переключения предыдущего разряда, вызывающего переключение следующего. При прямом счете следующий разряд переключается при переходе предыдущего в направлении 1—0, а при обратном — при переключении 0—1. Следовательно, различие между вариантами заключается в разном подключении входов триггеров к выходам предыдущих. Для реализации вычитающего счетчика на входы С последующих триггеров необходимо подавать сигналы с инверсных выходов предыдущих триггеров.

Схема реверсивного счетчика должна работать в двух режимах — суммирующем и вычитающем. Чтобы сделать счетчик реверсивным, необходимо обеспечить подачу на входы триггеров импульсов либо с прямого, либо с инверсного выхода предыдущего триггера в зависимости от управляющего сигнала. Это легко можно сделать с помощью схемы И-ИЛИ (рис. 3.35).

Счетчики, рассмотренные на рис. 3.34 и 3.35, называют счетчиками с последовательным переносом. Переключение каждого последующего триггера происходит с некоторой задержкой ?зд только после того, как переключится предыдущий. В результате задержка накапливается и триггер самого старшего разряда т переключается спустя время t = mt3r Таким образом, время установления кода на выходе счетчика прямо пропорционально его разрядности.

Для повышения быстродействия счетчики выполняют синхронными с параллельным (сквозным) переносом. Особенностью таких счетчиков является то, что выходы всех предшествующих разрядов подаются на входы триггера последующего (старшего) разряда, поэтому длительность переходного процесса (время установки кода) определяется длительностью переходного процесса одного разряда и не зависит от количества т триггеров.

Реализация реверсивного счетчика с использованием схем И-ИЛИ для межразрядных связей.

Рис. 3.35. Реализация реверсивного счетчика с использованием схем И-ИЛИ для межразрядных связей

Для синтеза счетчика с параллельным переносом обратим внимание на такую закономерность двоичных чисел: изменение старшего разряда на противоположное происходит тогда, когда все предыдущие разряды переходят из состояния «1» в состояние «О». Например, число «семь» в двоичном коде — 0111, а число «восемь» — 1000, число «тридцать один» — 11 111, а число «тридцать два» — 100 000. Таким образом, чтобы сформировать параллельный перенос, достаточно на информационные входы триггеров подавать конъюнкцию сигналов с выходов всех предыдущих триггеров (если использовать /iC-триггеры, то одновременно на два входа J и К), а на синхронизирующие входы всех триггеров — импульсы синхронизации, т. е. Q, = QoQn-Qi-iСхема синхронного четырехразрядного суммирующего счетчика с параллельным переносом представлена на рис. 3.36. Импульсы синхронизации в этом счетчике становятся счетными импульсами. На входы J и К первого триггера, соответствующего младшему разряду, подается «1».

Рассмотренные счетчики были двоичными, т. е. имели модуль счета, кратный 2п. Так, например, все триггеры счетчика на рис. 3.36 перейдут в исходное нулевое состояние после прихода шестнадцатого импульса. Значит, модуль счета этого счетчика Ксч = 16 = 24. У двоичных счетчиков сброс всех триггеров происходит с приходом очередного счетного импульса после того, как триггеры установятся в «1». Очевидно, что могут быть построены счетчики с любым модулем счета. Для этого необходимо обеспечить сброс всех триггеров в «0» после достижения максимально возможного числа. Это возможно осуществить двумя путями. Первый связан с традиционным синтезом счетчика на основе его таблицы переключений, второй — с формированием сигнала управляемого сброса всех триггеров в зависимости от модуля счета. В первом случае схема счетчика будет оригинальной для каждого модуля счета, во втором — типовой, построенной на основе обычных двоичных счетчиков. Для управляемого сброса может быть использован, например, вход R асинхронной установки триггера в нуль. Сигнал управляемого сброса легко сформировать с помощью схемы И. Для этого на се входы подаются сигналы с прямых или инверсных выходов триггеров в зависимости от того, каков модуль счета.

Счетчик с параллельным переносом.

Рис. 3.36. Счетчик с параллельным переносом.

Пример 3.10

Синтезировать счетчик с модулем счета Ксч =12 на основе четырехразрядного двоичного счетчика.

Решение. На рис. 3.37, а представлен четырехразрядный двоичный счетчик, выполненный в виде ИМС. Счетчик имеет 4 входа начальной установки DO, D1, D2, D3, обеспечивающих ввод начального числа по разрешающему сигналу С; входы прямого «+» и реверсивного счета «-»; выходы Q0, Ql, Q2, Q3, сигналы переноса сгв старший разряд и заема hr. Нас интересует наличие входа сброса всех триггеров счетчика в ноль R, на основе которого можно обеспечить обнуление счетчика, когда содержащийся в нем код достигает максимального значения и в него приходит очередной счетный импульс.

С приходом 12-го импульса все триггеры должны быть установлены в «О», значит, максимальное число, хранящееся в счетчике, на единицу меньше, т. е. 11. Двоичный эквивалент числа «11» — 1011. Этому числу соответствуют состояния выходов Q3Q2QiQqСоответствующие выходы счетчика подаются на четыре входа схемы И. Выход схемы должен обеспечивать разрешение прохождения очередного счетного импульса на вход R счетчика и запрет прохождения импульса на счетный вход «+». Таким образом, для синтеза счетчика кроме типовой ИМС четырехразрядного двоичного счетчика необходимы один четырехвходовой, два двухвходовых конъюнктора и два инвертора. Схема счетчика с Ксч = 12 показана на рис. 3.37, б.

Схема счетчика с К = 12 на основе ИМС четырехразрядного двоичного счетчика.

Рис. 3.37. Схема счетчика с Ксч = 12 на основе ИМС четырехразрядного двоичного счетчика:

а — четырехразрядный двоичный счетчик; б — схема счетчика с Ксч = 12.

На основе счетчиков в системах управления технологическими процессами формируют генераторы линейно изменяющихся напряжений, а также генераторы пилообразных напряжений. Действительно, счетчик обеспечивает суммирование числа поступивших на его вход импульсов — в режиме прямого счета, или вычитание — в режиме обратного счета. Если на вход счетчика импульсы будут поступать равномерно с определенной частотой, значит на выходе будет формироваться линейно нарастающая либо спадающая последовательность кодов. В момент перехода счетчика от кода 11…1 до 00… О (в режиме прямого счета) или от 00…0 до 11…1 (в режиме обратного счета) на выходе будет формироваться мгновенный скачок. Соединив выходы счетчика с цифроаналоговым преобразователем, получим генератор ступенчато изменяющегося напряжения, величина ступеньки которого будет соответствовать цене деления младшего разряда ЦЛП. У современных ЦАП цена деления младшего разряда может составлять несколько милливольт, такая форма напряжения с высокой степенью приближения соответствует линейно изменяющемуся напряжению (рис. 3.38).

Схема генератора линейно изменяющегося и пилообразного напряжения на основе реверсивного счетчика и ЦАП.

Рис. 338. Схема генератора линейно изменяющегося и пилообразного напряжения на основе реверсивного счетчика и ЦАП.

Крутизна выходного напряжения определяется частотой генератора импульсов. Если использовать генератор с регулируемой переменной частотой, схема будет способна формировать квазилинейное напряжение, скорость нарастания которого может изменяться в самых широких пределах. Наконец, за счет использования реверсивного счетчика можно формировать как нарастающее, так и спадающее напряжения. Команда «Вверх» обеспечивает прохождение импульсов на суммирующий вход, и напряжение будет линейно нарастающим, а команда «Вниз» — па вычитающий вход, формируя ниспадающее выходное напряжение. Скачки напряжения формируются в моменты, соответствующие переполнению и сбросу счетчика. Если такие скачки нужно исключить, с помощью логики формируют запрет на прохождение импульсов при достижении критического значения кода.

Показать весь текст
Заполнить форму текущей работой